tpb has quit [Remote host closed the connection]
craigo has joined #symbiflow
gsmecher has quit [Ping timeout: 260 seconds]
tpb has joined #symbiflow
<_whitenotifier-f> [fpga-tool-perf] HackerFoo opened issue #155: Re-running a test gives incorrect timing results - https://git.io/Jf550
<_whitenotifier-f> [fpga-tool-perf] HackerFoo opened issue #156: Vivado and nextpnr don't accept the same XDC constraint files - https://git.io/Jf55u
<_whitenotifier-f> [conda-env-make] mithro opened issue #2: Add tests - https://git.io/Jf55Q
<_whitenotifier-f> [conda-env-make] mithro opened issue #3: Add a Makefile.template file. - https://git.io/Jf555
<_whitenotifier-f> [fpga-tool-perf] HackerFoo opened issue #157: Add design: Rocket Linux LiteX SoC with LiteDRAM and LiteEth (200T) - https://git.io/Jf5dW
FFY00 has quit [Ping timeout: 260 seconds]
FFY00 has joined #symbiflow
craigo has quit [Quit: Leaving]
futarisIRCcloud has joined #symbiflow
_whitelogger has joined #symbiflow
Degi has quit [Ping timeout: 258 seconds]
Degi has joined #symbiflow
rvalles_ has joined #symbiflow
rvalles has quit [Ping timeout: 256 seconds]
<_whitenotifier-f> [conda-env-make] mithro opened issue #5: Figure out how to test the cygwin + mingw Windows versions - https://git.io/Jf5Nj
<_whitenotifier-f> [python-symbiflow-v2x] mithro opened issue #65: Port to using conda-env-make - https://git.io/Jf5AF
citypw has joined #symbiflow
<_whitenotifier-f> [conda-env-make] mithro opened issue #6: Solve disk space reporting on Mac OS X - https://git.io/Jf5xr
<tpb> Title: QuickLogic Open Reconfigurable Computing (QORC) Open Source tools | QuickLogic Corporation (at www.quicklogic.com)
<sorear> !
OmniMancer1 has joined #symbiflow
OmniMancer has quit [Ping timeout: 264 seconds]
OmniMancer has joined #symbiflow
OmniMancer1 has quit [Ping timeout: 260 seconds]
<_whitenotifier-f> [conda-env-make] mithro opened issue #7: Fix the Windows build - https://git.io/JfdJR
kraiskil has joined #symbiflow
<_whitenotifier-f> [vtr-verilog-to-routing] acomodi opened issue #517: New Lookahead map status - https://git.io/JfdTu
tcal has quit [Ping timeout: 256 seconds]
tcal has joined #symbiflow
<_whitenotifier-f> [fpga-tool-perf] acomodi opened issue #158: Fix Vivado-Yosys tests - https://git.io/JfdLi
<_whitenotifier-f> [fpga-tool-perf] acomodi opened issue #159: Get fasm2bels to run also for nextpnr bitstreams - https://git.io/JfdLQ
proteus-guy has joined #symbiflow
goldfinger has joined #symbiflow
goldfinger has quit [Remote host closed the connection]
josi has quit [Quit: Ping timeout (120 seconds)]
josi has joined #symbiflow
<_whitenotifier-f> [fpga-tool-perf] HackerFoo opened issue #162: Documentation for each of the designs tested - https://git.io/Jfdla
andrewb1999 has joined #symbiflow
<andrewb1999> Does anyone know of an open source DMA axi ip? Or has anyone been able to get DMA working on a zynq device?
<Lofty> If it's from anybody, it'll be from ZipCPU
<ZipCPU> o/
<tpb> Title: wb2axip/axidma.v at master · ZipCPU/wb2axip · GitHub (at github.com)
<andrewb1999> ZipCPU: Thanks!
<ZipCPU> If you like it, feel free to support me on Patreon: https://www.patreon.com/ZipCPU
<tpb> Title: Dan Gisselquist is creating FPGA tools | Patreon (at www.patreon.com)
gsmecher has joined #symbiflow
az0re has quit [Remote host closed the connection]
<_whitenotifier-f> [yosys-symbiflow-plugins] JakubJatczak opened issue #19: Add support for building on Windows - https://git.io/Jfd0Y
OmniMancer has quit [Quit: Leaving.]
kamyar has joined #symbiflow
kamyar is now known as Kamy
Kamy is now known as kamy
kamy has quit [Client Quit]
kamyar has joined #symbiflow
tcal has quit [Ping timeout: 264 seconds]
kamyar has quit [Quit: kamyar]
kamyar has joined #symbiflow
tcal has joined #symbiflow
citypw has quit [Ping timeout: 240 seconds]
<tnt> Trying to rebulding the S3 timing database using ff_1p210v variant instead of ss_0p990v ... curious to see what that'll be like.
az0re has joined #symbiflow
<_whitenotifier-f> [symbiflow-arch-defs] mithro opened issue #1533: Port to using conda-env-make - https://git.io/JfdEX
<_whitenotifier-f> [sv-tests] mithro opened issue #878: Port to using conda-env-make - https://git.io/JfdEy
<_whitenotifier-f> [fpga-tool-perf] mithro opened issue #163: Convert to using conda-env-make - https://git.io/JfdEQ
<_whitenotifier-f> [fpga-tool-perf] acomodi opened issue #164: Fix fasm2bels issues when running with nextpnr - https://git.io/JfdzY
mkru has joined #symbiflow
mkru has quit [Quit: Leaving]
<tnt> I have no idea how realistic it is to expect those timings to reflect reality, but it would make for a much nicer device :p
<tnt> I went from a -36 ns slack violation to a 8 ns slack met.
<sf-slack> <kgugala> tnt: the ff timings is the fast corner
<tnt> Yeah, I figured :p and at 1.210v
<sf-slack> <kgugala> yep
andrewb1999 has quit [Ping timeout: 265 seconds]
<tnt> kgugala: btw, I get a lot of stuff like "Warning 4483: Timing edge from usb_I.tx_pkt_I.shift_data_$_DFFE_PP__Q_D_$lut_Y.t_frag.XSL[0] to usb_I.tx_pkt_I.shift_data_$_DFFE_PP__Q_D_$lut_Y.t_frag.XZ[0] will not be created since usb_I.tx_pkt_I.shift_data_$_DFFE_PP__Q_D_$lut_Y.t_frag.XZ[0] has been identified as a clock generator"
<tnt> and the "Netlist contains 191 clocks" doesn't inspire confidence either ...
gromero has joined #symbiflow
<gromero> Hi. Does symbiflow support currently the BRAM found in ECP5 FPGAs?
<daveshah> Yes
<daveshah> the only thing not supported is inference the true dual port mode
<gromero> daveshah: would you mind to point me to some doc on how to use it?
<daveshah> Not sure of anything specific, look for simple BRAM patterns in whatever HDL you are using
<gromero> daveshah: you mean that it's not necessary any specific kind of construct or attribute (let's say, in Verilog) so a BRAM is synthesized? How do I know the BRAM is inferred correctly for instance?
<daveshah> no, you can look for DP16KD in the output
<daveshah> if you want to force BRAM you can use the (* syn_ramstyle="block_ram" *) attribute on the memory
<daveshah> as in Diamond
<gromero> daveshah: got it, thanks a lot
<gromero> daveshah: btw, how do you usually "read" the many synthesis output from yosys & friends, like I would like to see all generated circuit down to the FFs used and their connections. Is is possible? It's quite hard to read the $nets too ...
<daveshah> Have a look at the show command
<gromero> daveshah: awesome, that's what I was looking for!. thx.
_whitelogger has joined #symbiflow
perillamint_ has joined #symbiflow
ZirconiumX has joined #symbiflow
ronyrus_ has joined #symbiflow
Lofty has quit [*.net *.split]
yeti has quit [*.net *.split]
perillamint has quit [*.net *.split]
daddesio has quit [*.net *.split]
ronyrus has quit [*.net *.split]
perillamint_ is now known as perillamint
ZirconiumX is now known as Lofty
yeti has joined #symbiflow
daddesio has joined #symbiflow
y2kbugger has quit [Read error: Connection reset by peer]
elms has quit [Ping timeout: 260 seconds]
gromero has quit [Ping timeout: 260 seconds]
ovf has quit [Ping timeout: 246 seconds]
y2kbugger has joined #symbiflow
andrewb1999 has joined #symbiflow
perillamint has quit [Ping timeout: 246 seconds]
<andrewb1999> litghost: Do you think it would be feasible to support synth IO tiles with multiple IPINS or OPINS? Specifically for routing multiple clocks into an roi like region
perillamint has joined #symbiflow
y2kbugger has quit [Ping timeout: 260 seconds]
y2kbugger has joined #symbiflow
gromero has joined #symbiflow
kraiskil has quit [Ping timeout: 264 seconds]
perillamint has quit [Ping timeout: 240 seconds]
y2kbugger has quit [Read error: Connection reset by peer]
OmniMancer has joined #symbiflow
ovf has joined #symbiflow
y2kbugger has joined #symbiflow
perillamint has joined #symbiflow
FFY00 has quit [Ping timeout: 260 seconds]
FFY00 has joined #symbiflow
elms has joined #symbiflow
<_whitenotifier-f> [python-symbiflow-v2x] mithro opened issue #67: muxes/use_mux.sim.v test - https://git.io/JfdXp
OmniMancer1 has joined #symbiflow
andrewb1999 has quit [Ping timeout: 260 seconds]
OmniMancer has quit [Ping timeout: 264 seconds]