clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
emeb_mac has joined #yosys
vup has quit [Ping timeout: 260 seconds]
vup has joined #yosys
kuldeep_ has quit [Ping timeout: 260 seconds]
kuldeep has joined #yosys
knielsen has quit [Ping timeout: 248 seconds]
leviathan has joined #yosys
promach2 has joined #yosys
leviathan has quit [Remote host closed the connection]
knielsen has joined #yosys
cemerick has joined #yosys
promach2 has quit [Ping timeout: 246 seconds]
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 255 seconds]
AlexDaniel has quit [Ping timeout: 246 seconds]
seldridge has quit [Ping timeout: 260 seconds]
seldridge has joined #yosys
promach_ has quit [Quit: WeeChat 2.1-dev]
promach_ has joined #yosys
cemerick_ has quit [Ping timeout: 255 seconds]
emeb has quit [Quit: Leaving.]
<promach_> Do you guys think if we should formally verify a submodule first before formally verifying the overall module itself ?
sklv has joined #yosys
sklv has quit [Quit: quit]
emeb_mac has quit [Quit: Leaving.]
ratko has joined #yosys
<ratko> Hey i have question. Does Yosys provide timing and area occupancy of synthesized design on standard cell?
<mattvenn> hey ZipCPU , a picture in your repo would help people understand what it was!
<mattvenn> it's super cool
proteusguy has quit [Remote host closed the connection]
FabM has joined #yosys
promach_ has quit [Quit: WeeChat 2.1-dev]
GuzTech has joined #yosys
quigonjinn has quit [Ping timeout: 260 seconds]
cr1901_modern has quit [Read error: Connection reset by peer]
jwhitmore has joined #yosys
ratko has quit [Quit: Page closed]
ratko has joined #yosys
ratko has quit [Client Quit]
proteusguy has joined #yosys
jwhitmore has quit [Remote host closed the connection]
dys has joined #yosys
dys has quit [Ping timeout: 250 seconds]
Kensan has quit [Quit: leaving]
Kensan has joined #yosys
ravenexp has quit [Quit: WeeChat 2.1]
dmin7 has joined #yosys
<dmin7> hi (again)! .. i'm trying to make icosoc start an application from flash (on the icezero board), but .. it doesn't x). it works fine when i don't have the flashpmem option enabled in icosoc.conf / loading appimage to ram .. but when i enable it i do get the BOOTLOADER> prompt (and i can also write an appimage to it at that point, and it says XX bytes written) .. but after the RUN nothing happens
<dmin7> anybody knows it it should "just work" that way, by any chance?
proteusguy has quit [Remote host closed the connection]
<dmin7> (i did check the flash contents and appears to write icosoc and the appimage parts to the right offsets)
<dmin7> in the wiki it says:
<dmin7> flashpmem: Use SPI flash as program memory. Executing code from the SPI flash is very slow! But it enables much larger programs to be used with the SoC. This will modify the boot loader and a different linker script will be used to link the program. Everything in the ELF section .text.sram will be placed in SRAM. Make sure that performance critical functions are placed in this ELF section.
jwhitmore has joined #yosys
kraiskil has joined #yosys
jwhitmore has quit [Remote host closed the connection]
dys has joined #yosys
AlexDaniel has joined #yosys
dys has quit [Ping timeout: 250 seconds]
AlexDani` has joined #yosys
AlexDaniel has quit [Ping timeout: 264 seconds]
dys has joined #yosys
AlexDani` is now known as AlexDaniel
dys has quit [Ping timeout: 255 seconds]
proteusguy has joined #yosys
xrexeon has joined #yosys
<ZipCPU> mattvenn: Like ... what?
xrexeon has quit [Ping timeout: 250 seconds]
promach_ has joined #yosys
_whitelogger has joined #yosys
cr1901_modern has joined #yosys
jwhitmore has joined #yosys
quigonjinn has joined #yosys
jwhitmore has quit [Remote host closed the connection]
dys has joined #yosys
dys has quit [Ping timeout: 250 seconds]
emeb has joined #yosys
jwhitmore has joined #yosys
seldridge has quit [Ping timeout: 246 seconds]
kraiskil has quit [Ping timeout: 256 seconds]
* shapr hops cheerfully
* ZipCPU wonders why shapr is hopping, when he was doing cartwheels earlier
* ZipCPU is hoping someone else can answer dmin7's question, as he hasn't (yet) used the flash on his iceoboard.
dxld has quit [Quit: Bye]
dxld has joined #yosys
jwhitmore has quit [Remote host closed the connection]
jwhitmore has joined #yosys
jwhitmore has quit [Remote host closed the connection]
jwhitmore has joined #yosys
seldridge has joined #yosys
<shapr> ZipCPU: I did cartwheels this weekend at a music festival, it was fun
<shapr> you've seen me do cartwheels in person
<ZipCPU> :D
<ZipCPU> That's why I was wondering why you were only cheerfully hopping this morning. :D
dys has joined #yosys
<shapr> coworkers are confused by cartwheels, not sure why
<shapr> I need to bring in my unicycle for another lightning talk
<shapr> this week I'm doing crowd participation blockchain, our hash function is even/odd parity bits
<shapr> that way everyone can do the hashing in their head after drinking beer
<ZipCPU> So .... not before the drink, but after?
cemerick_ has joined #yosys
<shapr> I dunno, even/odd parity seems easy to calculate in my head, but that may not be true for everyone
<shapr> silly question, where do I look for the list of vendors/chips supported by yosys?
<shapr> looks like http://www.clifford.at/icestorm/ isn't the most recent list
<tpb> Title: Project IceStorm (at www.clifford.at)
<shapr> oh wait, here it is? http://www.clifford.at/yosys/faq.html
<tpb> Title: Yosys Open SYnthesis Suite :: Frequently Asked Questions (at www.clifford.at)
<shapr> so ice40, xilinx 7-series, greenpak4, gwi1n/gw2a
<shapr> I was playing shenzhen i/o last night, realized it's not too far off from doing FPGA design
cemerick has joined #yosys
cemerick_ has quit [Ping timeout: 256 seconds]
dys has quit [Ping timeout: 255 seconds]
seldridge has quit [Ping timeout: 250 seconds]
cemerick_ has joined #yosys
promach2 has joined #yosys
promach2 has quit [Client Quit]
cemerick has quit [Ping timeout: 255 seconds]
cemerick has joined #yosys
cemerick_ has quit [Ping timeout: 264 seconds]
seldridge has joined #yosys
cemerick_ has joined #yosys
promach__ has joined #yosys
cemerick has quit [Ping timeout: 268 seconds]
promach__ is now known as promach2
GuzTech has quit [Remote host closed the connection]
ravenexp has joined #yosys
dmin7 has quit [Ping timeout: 250 seconds]
m_w has quit [Quit: leaving]
<ZipCPU> shapr: You can also try typing "help" after starting yosys. Then look at the commands that start with "synth"
<ZipCPU> Targets therefore also include the coolrunner2, the eASIC platform, ice40, intel, speedster, and the xilinx 7-series chips.
<ZipCPU> I will say, though, from personal experience that the intel (i.e. altera) support isn't quite up to a usable state (yet).
m_w has joined #yosys
seldridge has quit [Ping timeout: 240 seconds]
<shapr> good to know, thanks
dmin7 has joined #yosys
seldridge has joined #yosys
promach2 has quit [Ping timeout: 250 seconds]
cemerick has joined #yosys
cemerick_ has quit [Ping timeout: 250 seconds]
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 260 seconds]
_whitelogger has joined #yosys
AlexDaniel has quit [Ping timeout: 250 seconds]
<awygle> i usually just check the source: https://github.com/YosysHQ/yosys/tree/master/techlibs
<tpb> Title: yosys/techlibs at master · YosysHQ/yosys · GitHub (at github.com)
ar3itrary has quit [Quit: Be vigilant]
ar3itrary has joined #yosys
digshadow has quit [Ping timeout: 248 seconds]
xrexeon has joined #yosys
ssvb has quit [Ping timeout: 240 seconds]
digshadow has joined #yosys
digshadow has quit [Remote host closed the connection]
digshadow has joined #yosys
_whitelogger has joined #yosys
m_t has joined #yosys
sklv has joined #yosys
digshadow has quit [Ping timeout: 250 seconds]
jhol has joined #yosys
jwhitmore has quit [Remote host closed the connection]
digshadow has joined #yosys
etrig has joined #yosys
dmin7 has quit [Ping timeout: 250 seconds]
seldridge has quit [Ping timeout: 256 seconds]
clifford has quit [Ping timeout: 240 seconds]
AlexDaniel has joined #yosys
dys has joined #yosys
dys has quit [Ping timeout: 250 seconds]
dys has joined #yosys
dys has quit [Ping timeout: 246 seconds]
cemerick_ has quit [Ping timeout: 255 seconds]
seldridge has joined #yosys
m_t has quit [Quit: Leaving]
clifford has joined #yosys
cemerick_ has joined #yosys
seldridge has quit [Ping timeout: 260 seconds]
cemerick_ has quit [Ping timeout: 240 seconds]
<cr1901_modern> Today in "Bad TODO lists", I have the following item:
<cr1901_modern> >Yosys
<cr1901_modern> >>Fix view bug
<cr1901_modern> I have no idea what I meant ._.
<cr1901_modern> Clearly I meant the yosys view command, but what was I thinking about? Are there any known bugs w/ "yosys view"?
<cr1901_modern> show*
<ZipCPU> Yeah ... I'm not sure what you meant either.
<cr1901_modern> Well it doesn't really help anyone if I remember finding a bug, but don't remember what it was :(
<cr1901_modern> Wait... I just remembered
<cr1901_modern> It was something azonenberg asked me to look at a few months ago
* cr1901_modern will have to look thru ##openfpga logs later
<ZipCPU> :)
tpb has quit [Remote host closed the connection]
tpb has joined #yosys