<nono2357> ok thanks sorear
nono2357 has quit [Quit: Page closed]
kraiskil__ has joined #symbiflow
_whitelogger has joined #symbiflow
_whitelogger has joined #symbiflow
<digshadow> GuzTech: I'm really overloaded right now. Hoping to get back to you soon
<digshadow> GuzTech: provided some comments on your PR, please let me know if that clarifies what I'm suggesting
<digshadow> sorear: there is no end to end toolchain that is for general use. There is a pre-alpha developer only version
<sorear> digshadow: not publically as of a few days ago. yosyshq/nextpnr@xc7 still requires ISE for bitstream gen
<digshadow> sorear: using vpr
<sorear> is that the one that doesn't support IOBs?
<digshadow> well sure :)
<digshadow> it requires the test harness .bit still
<digshadow> "pre alpha"
<digshadow> sorear: would you be interested in looking at the IOBs to help with that?
<sorear> theoretically, yes, but (a) I've got a bunch of other things going on (b) I'd probably need a fair amount of handholding
<digshadow> Okay, well maybe a) ping you in a bit b) usually not an issue / I'll do what I can to get you up to speed
_whitelogger has joined #symbiflow
<tpb> Title: Move settings out of database directory into new settings directory. by mithro · Pull Request #427 · SymbiFlow/prjxray · GitHub (at github.com)
<digshadow> mithro: fwiw your readme changes will conflict with GuzTech outstanding stuff
<digshadow> his PR was close. Maybe we should merge that and you rebase on that?
<digshadow> will => might
<mithro> Yeah, I can rebase pretty easily
_whitelogger has joined #symbiflow
<mithro> digshadow: Did an initial rebase ontop of GuzTech's pull request, so make sure to merge his first
<digshadow> mithro: the issue is that I was proposing some tweaks to his
<digshadow> if you make the proposed tweaks in yours though
<mithro> digshadow: Yeah, I can rebase after he fixes that
<digshadow> I can merge your PR with his rebase?
kraiskil__ has quit [Ping timeout: 246 seconds]
<GuzTech> digshadow: No worries! I removed the warning and added "recommended" to the first option.
<digshadow> checking
<digshadow> GuzTech: LGTM!
<digshadow> Going to merge it
<digshadow> thanks for sticking with me to sort that stuff out
<GuzTech> Hey no problem. It's the least I can do :)
<digshadow> just waiting for travis now
<digshadow> mithro: I'm going to look for breakfast. Feel free to merge it
<GuzTech> I want to help with other stuff as well (just like sorear apparently :) ).
<GuzTech> Let's see what we can unravel about the 7-series.
<digshadow> GuzTech: is there anything in particular you are intested in
<digshadow> interested
<GuzTech> I'd like to start simple to better understand the process, but otherwise I don't know.
<digshadow> GuzTech: right, but if you for example are interested in a specific part of the fpga, I can guide you through the process
<GuzTech> mithro mentioned IOBs for example. Pretty important to get that working me thinks.
<digshadow> GuzTech: sure, if you want to work on it its setup decently right now. But please coordinate with me as I might work on it in the next few weeks
<digshadow> merged!
<digshadow> mithro: you can rebase now if you want
<mithro> GuzTech: Were you at 35c3?
<mithro> digshadow: Done!
<sorear> i'm mostly an algorithms person, I'd be jumping on analytic placement if someone else wasn't
<mithro> sorear: We could use help with things like the routing graph deduping and stuff
<sorear> yes. i am very interested in that specifically
<GuzTech> mithro: unfortunately not
kraiskil__ has joined #symbiflow
<GuzTech> digshadow: anything simple you can recommend then?
<mithro> sorear: https://github.com/SymbiFlow/prjxray/tree/master/fuzzers/074-dump_all is probably a good place to look
<tpb> Title: prjxray/fuzzers/074-dump_all at master · SymbiFlow/prjxray · GitHub (at github.com)
<sorear> related thing I'd like: a generic compressed database backend that can handle most common FPGAs
<sorear> I'll look at that later
<tpb> Title: Proposal for alternative fabric and switch specification format · Issue #286 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)
<tpb> Title: symbiflow-arch-defs/ice40_generate_routing.py at ice40-generate · mithro/symbiflow-arch-defs · GitHub (at github.com)
<mithro> On my way to Poznan now. Will be in and out as change trains and such...
GuzTech has quit [Remote host closed the connection]
GuzTech has joined #symbiflow
kraiskil__ has quit [Read error: Connection reset by peer]
perillamint has joined #symbiflow
kraiskil has joined #symbiflow
torgax has joined #symbiflow
perillamint has quit [Remote host closed the connection]
perillamint has joined #symbiflow
<torgax> hi, I'm new to FPGA's (I got one one a LAN-party as a price, an icestick) and would like to write a really basic CPU using only opensource software. A long time ago, I used yosys, arachne-pnr and another tool to compile for FPGA's, but never got anywhere. What tools would you recommend? I'd like to have some kind of test bench, so I don't have to physically test it everyime. Sorry if this is offtopic
<sorear> yosys and icestorm is still good, there are a few new options but we don't want everyone to switch immediately
kraiskil has quit [Read error: No route to host]
<digshadow> GuzTech, mithro : FWIW that is the longest running fuzzer, although definitely could use some help improving performance (such as paralleizing it)
nono2357 has joined #symbiflow
kraiskil has joined #symbiflow
torgax has quit [Quit: Page closed]
<digshadow> hi nono2357
<nono2357> hi
<nono2357> I would like to help with the Xilinx series 7 toolchain
<nono2357> I'm familiar with Python, Verilog, apio and Vivado, how can I help?
<mithro> Hi nono2357, I'm currently on a train, so will be in and out
<mithro> nono2357: How much free time do you have?
<nono2357> about 3-4 hours a week
perillamint_ has joined #symbiflow
perillamint has quit [Ping timeout: 250 seconds]
<mithro> nono2357: We need a lot of help cleaning up and optimizing the Python stuff in prjxray and in symbiflow-arch-defs
<nono2357> any beta test/bug report in the toolchain?
<nono2357> would be easier than to understand the whole thing
<mithro> nono2357: maybe something like https://github.com/SymbiFlow/prjxray/issues/303
<tpb> Title: lib prjxray: less dependent on .json files · Issue #303 · SymbiFlow/prjxray · GitHub (at github.com)
<tpb> Title: Document and test prjxray library · Issue #141 · SymbiFlow/prjxray · GitHub (at github.com)
<tpb> Title: Create a "pretty printer" for the VTR .net file format · Issue #209 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<mithro> nono2357: https://github.com/SymbiFlow/symbiflow-arch-defs/issues/181 that would be a pretty quick one
<tpb> Title: Write a Python library for decoding Artix-7 wire names · Issue #181 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
perillamint_ has quit [Ping timeout: 240 seconds]
_whitelogger has joined #symbiflow
perillamint has joined #symbiflow
kraiskil has quit [Ping timeout: 246 seconds]
citypw has joined #symbiflow
<GuzTech> Ok, so I've created a simple design that instantiates one OBUFT, with drive strengths 4, 8, 12, and 16 (these are supported by LVCMOS33).
<GuzTech> I've used bitread and diffed them all.
<GuzTech> What comes after that?
perillamint has quit [Read error: Connection reset by peer]
perillamint has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 246 seconds]
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow