clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
seldridge0 has joined #yosys
oter has joined #yosys
oter has quit [Client Quit]
seldridge0 has quit [Ping timeout: 250 seconds]
wavedrom has joined #yosys
oter has joined #yosys
pie__ has joined #yosys
oter has quit [Quit: My iMac has gone to sleep. ZZZzzz…]
pie___ has joined #yosys
pie__ has quit [Ping timeout: 246 seconds]
oter has joined #yosys
oter has quit [Client Quit]
pie___ has quit [Ping timeout: 250 seconds]
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 246 seconds]
_whitelogger has joined #yosys
rohitksingh has joined #yosys
<Kitlith> if you're using the synth_ice40 command of yosys, is there some way to pass -sv to do stuff with systemverilog? or is that automatically enabled or something?
oter has joined #yosys
oter has quit [Quit: Textual IRC Client: www.textualapp.com]
seldridge0 has joined #yosys
seldridge0 has quit [Ping timeout: 245 seconds]
dys has joined #yosys
_whitelogger has joined #yosys
wavedrom has quit [Ping timeout: 246 seconds]
dys has quit [Ping timeout: 246 seconds]
m4ssi has joined #yosys
rohitksingh has quit [Ping timeout: 245 seconds]
rohitksingh has joined #yosys
rohitksingh_ has joined #yosys
rohitksingh has quit [Ping timeout: 272 seconds]
<MoeIcenowy> Kltllth: I think -sv is proceed at Verilog loading stage
<MoeIcenowy> not related to synthesis
kraiskil has joined #yosys
rohitksingh_ has quit [Remote host closed the connection]
rohitksingh has joined #yosys
jcreus has joined #yosys
<ZipCPU> Kitlith: If you are using the Verific front end, "read -sv file.sv" will synthesize a SystemVerilog file
<ZipCPU> Just like "read -vhdl file.vhd" will read and process a VHDL file. Both require the Verific front-end library, and so both require the commercial/licensed version of yosys
<daveshah> read -sv will work without Verific too, that's the point of the new read command as opposed to the older Verific command
<daveshah> Of course the subset of SV supported without Verific will be much smaller
_whitelogger has joined #yosys
m_t has joined #yosys
kraiskil has quit [Read error: Connection reset by peer]
pie___ has joined #yosys
tmeissner has joined #yosys
seldridge0 has joined #yosys
dxld has quit [Ping timeout: 252 seconds]
kraiskil has joined #yosys
dxld has joined #yosys
dxld has quit [Ping timeout: 252 seconds]
dxld has joined #yosys
X-Scale has quit [Quit: HydraIRC -> http://www.hydrairc.com <- Now with extra fish!]
pie___ has quit [Ping timeout: 246 seconds]
seldridge0 has quit [Ping timeout: 246 seconds]
dxld has quit [Ping timeout: 260 seconds]
tmeissner has quit [Ping timeout: 250 seconds]
dxld has joined #yosys
kristianpaul has joined #yosys
tmeissner has joined #yosys
m_t has quit [Read error: Connection reset by peer]
dys has joined #yosys
kraiskil has quit [Read error: Connection reset by peer]
kraiskil has joined #yosys
tmeissner has quit [Quit: My MacBook Air has gone to sleep. ZZZzzz…]
pie__ has joined #yosys
kraiskil has quit [Read error: Connection reset by peer]
jcreus has quit [Remote host closed the connection]
rohitksingh has quit [Ping timeout: 272 seconds]
rohitksingh has joined #yosys
seldridge0 has joined #yosys
jcreus has joined #yosys
tmeissner has joined #yosys
GuzTech has quit [Ping timeout: 250 seconds]
pie__ has quit [Remote host closed the connection]
pie__ has joined #yosys
gruetzkopf has quit [Remote host closed the connection]
gruetzkopf has joined #yosys
tmeissner has quit [Quit: My MacBook Air has gone to sleep. ZZZzzz…]
wavedrom has joined #yosys
tmeissner has joined #yosys
tmeissner has quit [Quit: Textual IRC Client: www.textualapp.com]
mjoldfield has joined #yosys
tmeissner has joined #yosys
GuzTech has joined #yosys
<Kitlith> MoeIcenowy, i only asked because the commands i was seeing online never even seemed to use a read or read_verilog comand in addition to synth_ice40
<Kitlith> not using verific because "call for pricing" is an automatic "it's too expensive for me" as an individual
kraiskil has joined #yosys
dys has quit [Ping timeout: 246 seconds]
_whitelogger has joined #yosys
pie__ has quit [Ping timeout: 252 seconds]
tmeissner has quit [Quit: My MacBook Air has gone to sleep. ZZZzzz…]
<ZipCPU> Kitlith: You can both drive yosys from a command line and from a script. read_verilog or read are things you'll often see within the script, followed by one of the synth* commands
<ZipCPU> You can also adjust parameters, macro defines, and more from with the script--things harder to do when calling the synth* command from the command line
pie__ has joined #yosys
seldridge0 has quit [Ping timeout: 268 seconds]
tmeissner has joined #yosys
tmeissner has quit [Quit: Textual IRC Client: www.textualapp.com]
rohitksingh has quit [Read error: Connection reset by peer]
dys` has joined #yosys
kraiskil has quit [Ping timeout: 246 seconds]
dys` has quit [Ping timeout: 250 seconds]
pie__ has quit [Ping timeout: 250 seconds]
jcreus has quit [Ping timeout: 250 seconds]
pie__ has joined #yosys
seldridge0 has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys