Lofty changed the topic of #prjmistral to: Project Mistral: Yosys (and hopefully nextpnr) on Cyclone FPGAs - https://github.com/ZirconiumX/mistral - logs: https://freenode.irclog.whitequark.org/prjmistral
_whitelogger has joined #prjmistral
duck25 has quit [*.net *.split]
duck25 has joined #prjmistral
sorear has quit [Read error: Connection reset by peer]
sorear has joined #prjmistral
duck25 is now known as duck2
_whitelogger has quit [Ping timeout: 240 seconds]
_whitelogger_ has joined #prjmistral
sorear_ has joined #prjmistral
sorear has quit [Ping timeout: 240 seconds]
sorear_ is now known as sorear
jopdorp has joined #prjmistral
<Lofty> So, a fun thing is that Quartus ships with debug symbols
<Lofty> And debug options that let you dump the databases as ASCII text
<jopdorp> Right
<Sarayan> Nah, no debug symbols
<Lofty> I mean, the function names, line numbers and line contents
<Lofty> That's pretty close
<Sarayan> fucklot of debug options though, and it's built as a ton of shared libs which naturally exports all the functions/methods with their real name
<jopdorp> So how would you ho about converting them to a yosys description of the fpga?
<Lofty> Sarayan: how's fmaker going?
<Lofty> jopdorp: Do you see the synth_intel_alm Yosys pass?
<Sarayan> still mucking with the routing, trying to understand the fplls, that kind of stuff
<Sarayan> did you see that the analog pocket big fpga is a cyclone v too?
<Sarayan> analogue
<jopdorp> Lofty: I'm just opening some code bases
<Lofty> I did, but it also has a Cyclone 10GX!
<Sarayan> yeah, a small one
<Lofty> I mean, both are smaller than the one in a MiSTer
<Lofty> jopdorp: yes, that one. I wrote it.
<Sarayan> the big one is roughly 40% of the size of the de10's
<Sarayan> otoh you don't need the scaler in there
<Sarayan> or I guess the sound conversion
<Sarayan> so you get some space back
<Sarayan> so I suspect you get 50-60% usable size w.r.t de10 instead of 40
<Lofty> Another thing, jopdorp: Mistral won't use VPR, or any direct part of the Symbiflow toolchain
<jopdorp> okay
<Lofty> I use Yosys, sure, but the upstream version rather than the Symbiflow fork
<Lofty> And place-and-route will use nextpnr rather than VPR.
<Lofty> (Quartus uses VPR. Noticed how it's really slow and painful to use? Yeah, even with two decades of improvements, VPR sucks)
<jopdorp> hahaha
<jopdorp> okay
<jopdorp> nextpnr
<jopdorp> I'm just gonna read about yosys and nextpnr
<Lofty> My personal opinion is that VPR makes an excellent platform for testing ideas, but a terrible production platform
<jopdorp> right
<Lofty> Feel free to compare the speed of the nextpnr-based Icestorm flow with the speed of the VPR-based QuickLogic flow.
<Lofty> But my own experience is that using nextpnr is about 20 times faster or so.
<Lofty> Due to using more modern algorithms like HeAP, and not having to parse a bunch of XML on startup.
<jopdorp> that's great
<jopdorp> quartus always takes forever
<jopdorp> just having a look at this