pepijndevos changed the topic of #apicula to: Project Apicula: bitstream documentation and tooling for Gowin FPGAs https://github.com/YosysHQ/apicula -- logs https://freenode.irclog.whitequark.org/apicula
<trabucayre> Yes my runber is at luxembourg!
<pepijndevos> yay
<trabucayre> it's boring to have to wait :)
FabM has joined #apicula
omnitechnomancer has quit [Ping timeout: 240 seconds]
notafile has quit [Ping timeout: 240 seconds]
kprasadvnsi[m] has quit [Ping timeout: 240 seconds]
wiizzard has quit [Ping timeout: 246 seconds]
notafile has joined #apicula
kprasadvnsi[m] has joined #apicula
omnitechnomancer has joined #apicula
wiizzard has joined #apicula
<kbeckmann> i'm giving nextpnr-gowin a try today, but it seems i'm missing something. i have installed apycula through pip, built nextpnr with gowin support. but when using it to build the example in the apicula repository, i get this error "ERROR: Failed to load chipdb 'gowin/chipdb-GW1N-4.bin'"
<kbeckmann> where should this file be located? i can't seem to find it anywhere on my system
<trabucayre> kbeckmann: GW1N1-4 is supported ?
<kbeckmann> hmm i don't know. let me see if i can use it with GW1N-1 or so
<kbeckmann> i just ran make in apicula/examples
<trabucayre> theorically yes but maybe some repo are not up to date
<kbeckmann> ah good point. i installed apycula using pip, but ran the example from master.
<trabucayre> nextpnr print "-- Enabled Gowin devices: GW1N-1;GW1N-9"
<kbeckmann> i tried to build the blinky project for tangnano now, however it complains about a missing cst file. i think i should just build my own release from master and not use the one that's pushed to pip?
<trabucayre> maybe because before constraints are in v file
<trabucayre> and now uses cst :)
<kbeckmann> cool. where does that file come from though? is it generated or handwritten?
<kbeckmann> it seems to be missing for the tangnano in any case. i can create one and submit a pr in a while.
<trabucayre> manually
<kbeckmann> thanks for your help trabucayre
<kbeckmann> i'm thinking of revisiting my nmigen patches for supporting gowing now that there is a working nextpnr target :).
<trabucayre> good idea :)
<kbeckmann> https://github.com/kbeckmann/nmigen/tree/gowin_gw1n but it's probably a bit obsolete now
<kbeckmann> there are quite a few hacks wrt pin mappings. now with CST, this can all go away i think.
<kbeckmann> lol. i really emptied my brain of fpga stuff for the last two months or so
<kbeckmann> need to read my own code from the beginning again :D
<trabucayre> I reinstall nextpnr to check something (it's take a while and my computer is old...)
<trabucayre> It's an idea :)
<trabucayre> kbeckmann: when you use 'make' in examples directory, blink is build for tec0117, tangnano & runber
<trabucayre> runber is gw1n-4 but seems not supported
<trabucayre> and cst is missing for tangnano :)
<kbeckmann> yeah i noticed
<trabucayre> (for runber too)
<kbeckmann> yeah i'll fix this now
<trabucayre> tangnano has only one tricolor LED :-/
<trabucayre> kbeckmann: the missing patch -> https://github.com/YosysHQ/nextpnr/pull/550
<kbeckmann> oh awesome
<kbeckmann> tangnano is the only gowin device currently in my house, but it's hopefully enough to test the stuff i am working on :)
<trabucayre> yep
<trabucayre> if pepijndevos don't do before me I'll fix missing cst as soon as received my runber :)
<pepijndevos> heyyyyy there should be a cst...
<pepijndevos> probably forgot to commit hold on
<kbeckmann> ah ok :)
<pepijndevos> theeeere you go...
<kbeckmann> we could add building of the examples to the CI jobs
<kbeckmann> yay, builds fine now
<pepijndevos> Yea I started adding it... and then... didn't finish.
<kbeckmann> i completely understand :)
<pepijndevos> debugging github actions is such a nuissance
<kbeckmann> phew. i dropped my tools and ran away mid november.. picking up the pieces i left behind for myself now
<kbeckmann> yeah for sure
<kbeckmann> and in your case here, i guess the build times are very long so it's even more annoying
<pepijndevos> I actually got as far as pushing the python dist as a build artifact, but I gave up when I realized I'd have to build nextpnr too.
<pepijndevos> And yosys
<kbeckmann> i have solved similar issues with github dockers
<kbeckmann> i have one repo that builds a docker image with e.g. a large toolchain and some extra stuff, pushes this to the github docker repo, and then i use that docker image in another repo
<pepijndevos> yea docker would be the way to go, but sill annoying. If it was just a simple pip install I'd have done it. So probably first going to add Apicula to yowasp XD
<kbeckmann> ah yeah that would be cool
<pepijndevos> So yea that's the plan, add to yowasp, and then pip install and build the examples on ci
<kbeckmann> cool.
<kbeckmann> pepijndevos: btw just making sure we're not duplicating efforts. have you worked on a gowin nmigen integration? was thinking of continuing my branch now using nextpnr etc.
<pepijndevos> Nope, please go ahead. WQ was going to do it, but I guess it was pushed down off the stack
<kbeckmann> alright, then i'll continue
<kbeckmann> i got hit by a serious case of "ooh, shiny!". but am back now.
<pepijndevos> lol
FabM has quit [Quit: Leaving]