Lofty changed the topic of #prjmistral to: Project Mistral: Yosys (and hopefully nextpnr) on Cyclone FPGAs - https://github.com/ZirconiumX/mistral - logs: https://freenode.irclog.whitequark.org/prjmistral
futarisIRCcloud has joined #prjmistral
daveshah has quit [Read error: Connection reset by peer]
futarisIRCcloud has quit [Read error: Connection reset by peer]
futarisIRCcloud has joined #prjmistral
daveshah has joined #prjmistral
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
_whitelogger has joined #prjmistral
daveshah has quit [Quit: Updating details, brb]
daveshah has joined #prjmistral
futarisIRCcloud has joined #prjmistral
rohitksingh has quit [Read error: Connection reset by peer]
jopdorp has quit [Read error: Connection reset by peer]
rohitksingh has joined #prjmistral
jopdorp has joined #prjmistral
futarisIRCcloud has quit [Ping timeout: 258 seconds]
jopdorp has quit [Read error: Connection reset by peer]
daveshah has quit [Read error: Connection reset by peer]
futarisIRCcloud has joined #prjmistral
daveshah has joined #prjmistral
rohitksingh has quit [Ping timeout: 260 seconds]
jopdorp has joined #prjmistral
rohitksingh has joined #prjmistral
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
daveshah has quit [Ping timeout: 256 seconds]
daveshah has joined #prjmistral
<Lofty> Sarayan: what do you think of the diagram, though?
<Sarayan> It's nice
<Sarayan> I wonder which of the two is the best in a setup with the actual configuration active, like quartus does
<Sarayan> since a lot of te lines essentially dossepear
<Sarayan> sorry, cat stole an arm
<Lofty> Cats tend to do that
<Lofty> I think in that situation it's not too tricky to highlight the flying wires that I'm using to reduce visual noise
<Sarayan> true
<mwk> can confirm, nothing like a good borrowed arm to rest on
<Sarayan> in any case, it's much better than the quartus one because all is on there
<Lofty> I mean, the mode mux could be something to expand upon
<Sarayan> It's probably splittable bit we need to trigger the last 4 modes to be sure
<Sarayan> it's 8 bits (which is still better than the quartus original which is 14 iirc)
<Lofty> Mhm
<Sarayan> arith is folded in otherwise, and I'm not sure what else
<Lofty> Sarayan: something daveshah mentioned is that Quartus might have its own intra-ALM routing data
<Sarayan> For inner blocks it looks harcoded
<Sarayan> for peripheral it indeed is explicit
<Sarayan> I have to complete clock mux maps in a notes file thanks to thar, I'll need to add them to the code and the doc at some point
<Lofty> Mmm
<Lofty> Also it was suggested that I try generating the intra-ALM routing from the diagram :P
<Sarayan> I choose to only have the real routing in the graph because it's closer to reality and because I don't want to force quartus' choices
<Sarayan> mistral is already a pile of code generators, wgat's another one between friends
<Sarayan> cat is actually dreaming on my arm
<Lofty> Only the finest of metametaprogramming here /s
<Lofty> To be fair, like libtrellis instead enforces its own design decisions on its users
<Lofty> But the only real user is nextpnr-ecp5 :P
<Lofty> Sarayan: I think something useful would be if we could decompile a bitstream into Verilog cells
<Lofty> e.g. icebox_vlog I think
<omnitechnomancer> and the bitfile packer but that is in libtrellis
<Sarayan> You probably could do that from the deocmp output
<Sarayan> decomp
<Sarayan> not especially hard for the parts we understand, I think
<Sarayan> if you try to generate quartus-compatible verilog you may even want to generate forced placements
<Sarayan> but, hmmm, perhaps generating it from the library is even easier, it already has the route solver after all
<Sarayan> the funkiest part is going to go from lut table to boolean equations I'm sure
mwk has quit [Ping timeout: 272 seconds]
mwk_ has joined #prjmistral
mwk_ is now known as mwk