tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
maartenBE has quit [Ping timeout: 258 seconds]
dbobrek has quit [Ping timeout: 245 seconds]
az0re has joined #symbiflow
maartenBE has joined #symbiflow
maartenBE has quit [Ping timeout: 272 seconds]
maartenBE has joined #symbiflow
Degi has quit [Ping timeout: 272 seconds]
Degi has joined #symbiflow
citypw has joined #symbiflow
OmniMancer has joined #symbiflow
<_whitenotifier-f> [python-symbiflow-v2x] mkurc-ant opened issue #79: Incorrect Yosys package installed in readthedocs env - https://git.io/JURa3
az0re has quit [Remote host closed the connection]
lambda has quit [Ping timeout: 260 seconds]
lambda has joined #symbiflow
lambda has quit [Ping timeout: 272 seconds]
lambda has joined #symbiflow
lambda has quit [Ping timeout: 244 seconds]
lambda has joined #symbiflow
lambda has quit [Ping timeout: 244 seconds]
lambda has joined #symbiflow
FFY00 has quit [Ping timeout: 244 seconds]
FFY00 has joined #symbiflow
OmniMancer has quit [Quit: Leaving.]
az0re has joined #symbiflow
citypw has quit [Ping timeout: 240 seconds]
<_whitenotifier-f> [sphinx-verilog-domain] mithro opened issue #19: Read the docs build failing? - https://git.io/JURNf
<sf-slack> <timo.callahan> @acomodi @kgugala -- Alessandro, I tried using the new tarball with the IDELAYCTRL fix in symbiflow examples, but had an issue with Yosys -- I'm guessing you have a newer version in your tarball scripts, but an older version installed with Conda? This is the error:
<sf-slack> <timo.callahan> `-- Running command `tcl /opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/synth.tcl' -- [TCL: yosys -import] Command name collision: found pre-existing command `cd' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `eval' -> skip. [TCL: yosys -import] Command name collision: found pre-existing command `read' -> skip. [TCL: yosys -import] Command name collision: found
<sf-slack> pre-existing command `trace' -> skip. ERROR: Can't load module `./params': /home/tcal/.conda/envs/xc7/bin/../share/yosys/plugins/params.so: cannot open shared object file: No such file or directory`
<sf-slack> <timo.callahan> The plugins directory exists, but no "params.so".
<sf-slack> <timo.callahan> xc7/environment.yml has these lines:
<sf-slack> <timo.callahan> `name: xc7 channels: - symbiflow dependencies: - symbiflow::symbiflow-yosys=0.8_3925_g6bccd35a - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0032_g104f4fc - symbiflow::symbiflow-vtr=8.0.0.rc2_4003_g8980e4621`
<sf-slack> <kgugala> looks like yosys-plugins package in conda was not updated
<sf-slack> <kgugala> params plugin has been merged 2 monts ago
<sf-slack> <kgugala> so it should be in conda
<sf-slack> <kgugala> @timo.callahan can you bump the plugins package in the examples repo?
<sf-slack> <kgugala> here are the available versions
<sf-slack> <timo.callahan> Hmm, symbiflow-examples works with the tarball linked in the README: https://storage.googleapis.com/symbiflow-arch-defs/artifacts/prod/foss-fpga-tools/symbiflow-arch-defs/presubmit/install/477/20200714-082108/symbiflow-arch-defs-install-8eb88e76.tar.xz -- I guess that's more than a couple months old.
<sf-slack> <kgugala> I think you can try the latest one
<sf-slack> <timo.callahan> Thanks for the list of versions, I didn't know how to find that
<sf-slack> <timo.callahan> Yeah, I'll see if it works if I bump both the tarball install and the yosys conda packages.
<sf-slack> <kgugala> the old tarbal in examples comes with Yosys script which does not want to load params.so plugin
<sf-slack> <timo.callahan> Thanks Karol!
<sf-slack> <timo.callahan> Hmm, I updated xc7/environment.yml to match the lastest files on anaconda.org, but conda isn't finding them:
<sf-slack> <timo.callahan> `- - symbiflow::symbiflow-yosys=0.8_3925_g6bccd35a - - symbiflow::symbiflow-yosys-plugins=1.0.0.7_0032_g104f4fc + - symbiflow::symbiflow-yosys-0.8_6021_gd8b2d1a2 + - symbiflow::symbiflow-yosys-plugins-1.0.0.7_0117_g160b309`
<sf-slack> <timo.callahan> `Solving environment: failed` `ResolvePackageNotFound: - symbiflow::symbiflow-yosys-0.8_6021_gd8b2d1a2 - symbiflow::symbiflow-yosys-plugins-1.0.0.7_0117_g160b309`
<sf-slack> <timo.callahan> (above is the diff for xc7/environment.yml; the bottom 5 lines are the output from `conda env create ...`
<sf-slack> <timo.callahan> Hmm, it works if I leave off the version number; then I get the latest, which is what I want for now. I'll keep going and see if it all works now (using new everything).
<sf-slack> <kgugala> I just ran it from scratch with the git commits specified and it worked
<sf-slack> <timo.callahan> Yep, counter_test now works.
<sf-slack> <kgugala> (with the latest versions)
enriq has joined #symbiflow
<sf-slack> <timo.callahan> Next I'll see if I can run the Linux test on 100T without LOC on either IDELAYCTRL or PLL.
<sf-slack> <kgugala> you can always open a PR with bumped versions and wait for CI :)
<sf-slack> <timo.callahan> Yeah, true -- if I add the 100T test to the README, then tuttest/travis will pick it up, right?
<sf-slack> <kgugala> yes, if you add it to the xc7 tests codeblock
<sf-slack> <kgugala> tutests runs codeblocks, so if you create a new codeblock, you'd need to update the CI script
<sf-slack> <timo.callahan> Got it.
<sf-slack> <kgugala> but if you extend the exiting one, tuttest should pick it
<sf-slack> <timo.callahan> Hmm, I must have another mismatch somewhere -- this is from symbiflow_synth: `ERROR: TCL interpreter returned an error: invalid command name "getparam"`
<sf-slack> <timo.callahan> I'll give it another try from scratch tomorrow...
<litghost> timo.callahan: Can you open a draft PR with what you have so far?
TMM has quit [Remote host closed the connection]
TMM has joined #symbiflow
<sf-slack> <timo.callahan> @litghost https://github.com/SymbiFlow/symbiflow-examples/pull/50
<tpb> Title: Attempt to roll tarball and Yosys packages for symbiflow-examples. by tcal-x · Pull Request #50 · SymbiFlow/symbiflow-examples · GitHub (at github.com)
epony has quit [Quit: reconfigure nwo!]
epony has joined #symbiflow