sb0 changed the topic of #m-labs to: https://m-labs.hk :: Mattermost https://chat.m-labs.hk :: Logs http://irclog.whitequark.org/m-labs
bluebugs has quit [Ping timeout: 252 seconds]
m4ssi has joined #m-labs
cedric has joined #m-labs
cedric has joined #m-labs
cedric has quit [Changing host]
m4ssi has quit [Remote host closed the connection]
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 3 commits to master [+0/-0/±10] https://git.io/fjEXH
<_whitenotifier-3> [m-labs/nmigen] whitequark 98497b2 - build.dsl: require a dict for extras instead of a stringly array.
<_whitenotifier-3> [m-labs/nmigen] whitequark 268fe63 - build.res: simplify. NFC.
<_whitenotifier-3> [m-labs/nmigen] whitequark fb01854 - build.{res,plat}: propagate extras to pin fragment factories.
<_whitenotifier-3> [nmigen] whitequark closed issue #72: In nmigen.build, extras should probably be a dict, not an array - https://git.io/fjECv
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540547516?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Failure. 80.99% (-0.11%) compared to e4ebe03 - https://codecov.io/gh/m-labs/nmigen/commit/fb0185437260db0e91d9835be62a98e36a0b694d
<_whitenotifier-3> [nmigen] Failure. 51.66% of diff hit (target 81.09%) - https://codecov.io/gh/m-labs/nmigen/commit/fb0185437260db0e91d9835be62a98e36a0b694d
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±4] https://git.io/fjEXp
<_whitenotifier-3> [m-labs/nmigen] whitequark b64a312 - hdl.ir: accept expanded (kind, name, value) tuples in Instance.
<_whitenotifier-3> [m-labs/nmigen] whitequark b8a61ed - hdl.dsl: allow adding submodules with computed name, like with domains.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540552514?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 81.06% (+0.07%) compared to fb01854 - https://codecov.io/gh/m-labs/nmigen/commit/b8a61edc2fc361a77dc932dc9ca3f6633ec354d9
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 80.99%) - https://codecov.io/gh/m-labs/nmigen/commit/b8a61edc2fc361a77dc932dc9ca3f6633ec354d9
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 3 commits to master [+0/-0/±7] https://git.io/fjE1L
<_whitenotifier-3> [m-labs/nmigen] whitequark c6a0761 - hdl.ir: accept LHS signals like slices as Instance io ports.
<_whitenotifier-3> [m-labs/nmigen] whitequark dc17d06 - vendor.fpga.lattice_ice40: instantiate SB_IO and apply extras.
<_whitenotifier-3> [m-labs/nmigen] whitequark f417725 - build.res: if not specified, request resource #0.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540557733?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. Absolute coverage decreased by -0.03% but relative coverage increased by +18.93% compared to b8a61ed - https://codecov.io/gh/m-labs/nmigen/commit/f417725b10de30a1dbf0c6024bec316cccf685f9
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 81.06%) - https://codecov.io/gh/m-labs/nmigen/commit/f417725b10de30a1dbf0c6024bec316cccf685f9
futarisIRCcloud has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 4 commits to master [+0/-0/±9] https://git.io/fjE1X
<_whitenotifier-3> [m-labs/nmigen] whitequark 3327dea - vendor.fpga.lattice_ice40: enable SystemVerilog when reading .sv files.
<_whitenotifier-3> [m-labs/nmigen] whitequark c30617f - lib.io: allow Pin(xdr=0), representing a combinatorial I/O buffer.
<_whitenotifier-3> [m-labs/nmigen] whitequark cd6488c - build.res: allow requesting raw ports, with dir="-".
<_whitenotifier-3> [m-labs/nmigen] whitequark 9ba2efd - build.{res,plat}: use xdr=0 as default, not xdr=1.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540566005?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. Absolute coverage decreased by -0.06% but relative coverage increased by +18.96% compared to f417725 - https://codecov.io/gh/m-labs/nmigen/commit/9ba2efd86b52a92becb78b9aec99ba7a4ec105d0
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 81.03%) - https://codecov.io/gh/m-labs/nmigen/commit/9ba2efd86b52a92becb78b9aec99ba7a4ec105d0
rohitksingh_work has joined #m-labs
<_whitenotifier-3> [nmigen] zignig commented on issue #77: No way to define platform connectors - https://git.io/fjE1p
_whitelogger has joined #m-labs
_whitelogger has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±8] https://git.io/fjEM3
<_whitenotifier-3> [m-labs/nmigen] whitequark 1eee7cd - lib.io: allow dir="oe".
<_whitenotifier-3> [m-labs/nmigen] whitequark 6fae06a - build.{dsl,plat,res}: allow dir="oe".
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540579176?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Failure. 80.82% (-0.15%) compared to 9ba2efd - https://codecov.io/gh/m-labs/nmigen/commit/6fae06aea9b73b0f2080b8e4d317c6c02163b0d7
<_whitenotifier-3> [nmigen] Failure. 31.25% of diff hit (target 80.96%) - https://codecov.io/gh/m-labs/nmigen/commit/6fae06aea9b73b0f2080b8e4d317c6c02163b0d7
<_whitenotifier-3> [nmigen] whitequark opened issue #78: Implement XDR buffer factories for all platforms - https://git.io/fjEMr
rohitksingh_wor1 has joined #m-labs
rohitksingh_work has quit [Ping timeout: 268 seconds]
_whitelogger has joined #m-labs
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
daveshah has quit [*.net *.split]
adamgreig has quit [*.net *.split]
mithro has quit [Ping timeout: 248 seconds]
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 3 commits to master [+0/-0/±5] https://git.io/fjEy8
<_whitenotifier-3> [m-labs/nmigen] whitequark a1940c5 - hdl.rec: unbreak hasattr(rec, ...).
<_whitenotifier-3> [m-labs/nmigen] whitequark b42043f - lib.io: add i_clk and o_clk to pin layout with xdr>=1.
<_whitenotifier-3> [m-labs/nmigen] whitequark 185abb4 - vendor.fpga.lattice_ice40: implement SDR and DDR I/O buffers.
mithro has joined #m-labs
<_whitenotifier-3> [nmigen] whitequark commented on issue #73: XDR is not currently usable - https://git.io/fjEyB
<_whitenotifier-3> [nmigen] whitequark closed issue #73: XDR is not currently usable - https://git.io/fjECT
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540622102?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.83% (+0.01%) compared to 6fae06a - https://codecov.io/gh/m-labs/nmigen/commit/185abb492d4d41b1fc65a52f150759297bf9c530
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 6fae06a...185abb4 - https://codecov.io/gh/m-labs/nmigen/commit/185abb492d4d41b1fc65a52f150759297bf9c530
jryans has quit [*.net *.split]
jryans has joined #m-labs
adamgreig has joined #m-labs
daveshah has joined #m-labs
m4ssi has joined #m-labs
rohitksingh_work has joined #m-labs
rohitksingh_work has quit [Quit: Leaving.]
rohitksingh_work has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 3 commits to master [+0/-0/±9] https://git.io/fjE9G
<_whitenotifier-3> [m-labs/nmigen] whitequark 3116d4a - vendor.fpga.lattice_ice40: allow instantiating SB_GB_IO via extras.
<_whitenotifier-3> [m-labs/nmigen] whitequark 41adcc3 - vendor.fpga.lattice_ice40: implement differential input buffers.
<_whitenotifier-3> [m-labs/nmigen] whitequark 1670800 - vendor.fpga.lattice_ice40: implement differential output buffers.
rohitksingh_work has quit [Client Quit]
<whitequark> sb: key2: jfng: every aspect of iCE40 with yosys/nextpnr is done, i think
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fjE9l
<_whitenotifier-3> [m-labs/nmigen] whitequark 639e64c - vendor.fpga.lattice_ice40: implement differential output buffers.
rohitksingh_work has joined #m-labs
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540655967?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Failure. 80.82% (-0.01%) compared to 185abb4 - https://codecov.io/gh/m-labs/nmigen/commit/1670800c7f8dbdaf8b67656bba04829e7b00da60
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 185abb4...1670800 - https://codecov.io/gh/m-labs/nmigen/commit/1670800c7f8dbdaf8b67656bba04829e7b00da60
TD-Linux has joined #m-labs
<whitequark> hmm, let me add back connectors and it'll be largely done, i think
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540657095?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Failure. 80.82% (-0.01%) compared to 185abb4 - https://codecov.io/gh/m-labs/nmigen/commit/639e64c38831f8f446573c9ccd93beb70d178c2c
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 185abb4...639e64c - https://codecov.io/gh/m-labs/nmigen/commit/639e64c38831f8f446573c9ccd93beb70d178c2c
<TD-Linux> nmigen (using the compat layer) seems to error out that my TSTriple is never elaborated. I think this is because I only ever get_tristate() on it in synth, not simulation. is there a way to get around this?
<whitequark> it's not an error
<whitequark> hm
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fjE92
<_whitenotifier-3> [m-labs/nmigen] whitequark 4c443a7 - compat.fhdl.specials: TSTriple is not an elaboratable.
<TD-Linux> aha thanks
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540661379?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.84% (+0.01%) compared to 639e64c - https://codecov.io/gh/m-labs/nmigen/commit/4c443a7ef50eca3c43fe87cd54d304ef1648300b
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 80.82%) - https://codecov.io/gh/m-labs/nmigen/commit/4c443a7ef50eca3c43fe87cd54d304ef1648300b
<TD-Linux> neato. with that change, sim works unmodified. (with some driverconflict warnings that were intentional in the original migen)
<whitequark> excellent
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #m-labs
daveshah has quit [Ping timeout: 248 seconds]
daveshah has joined #m-labs
lynxis_ has quit [Remote host closed the connection]
rohitksingh_work has quit [Read error: Connection reset by peer]
lynxis has joined #m-labs
early has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±7] https://git.io/fjEbu
<_whitenotifier-3> [m-labs/nmigen] whitequark a013eb1 - build.dsl: add support for connectors.
<_whitenotifier-3> [m-labs/nmigen] whitequark ed64880 - build.{plat,res}: add support for connectors.
<_whitenotifier-3> [nmigen] whitequark closed issue #77: No way to define platform connectors - https://git.io/fjE01
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540797730?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] whitequark opened issue #79: Add a library of connector layouts, too - https://git.io/fjEbr
cedric has quit [Ping timeout: 252 seconds]
cedric has joined #m-labs
cedric has quit [Changing host]
cedric has joined #m-labs
<_whitenotifier-3> [nmigen] sbourdeauducq commented on issue #79: Add a library of connector layouts, too - https://git.io/fjENE
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 3 commits to master [+0/-0/±3] https://git.io/fjENV
<_whitenotifier-3> [m-labs/nmigen] whitequark f351e2b - vendor.ice40_hx1k_blink_evn: add (some) connectors.
<_whitenotifier-3> [m-labs/nmigen] whitequark 7c5461d - vendor.icestick: add connectors.
<_whitenotifier-3> [m-labs/nmigen] whitequark 2ca0834 - vendor.tinyfpga_bx: add connectors.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540816376?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 81% remains the same compared to ed64880 - https://codecov.io/gh/m-labs/nmigen/commit/2ca0834d4113f4ebd9b1383bcadce5f65e5f0f15
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing ed64880...2ca0834 - https://codecov.io/gh/m-labs/nmigen/commit/2ca0834d4113f4ebd9b1383bcadce5f65e5f0f15
<_whitenotifier-3> [nmigen] whitequark commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEN1
<_whitenotifier-3> [nmigen] whitequark commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEND
m4ssi has quit [Remote host closed the connection]
<_whitenotifier-3> [nmigen] mithro commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEAk
<mithro> whitequark: I know way too much about pmod and own like a bazillion pmod devices, if you have any questions or anything, please do reach out
<_whitenotifier-3> [nmigen] whitequark commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEAt
<cr1901_modern> I started a set of Pmod abstraction classes, but I didn't like the way they turned out
<whitequark> it's honestly pretty easy
<cr1901_modern> Probably make a pmod-gpio, pmod-spi, pmod-multiple-conn, pmod-i2c, pmod-6pin, pmod-12pin class
<cr1901_modern> oh and "pmod 6pin but it spans over 2 connectors"
<cr1901_modern> https://store.digilentinc.com/pmod-ssd-seven-segment-display/ I use this one a lot for debugging, but the form factor is just... bad
<_whitenotifier-3> [nmigen] mithro commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEA0
<_whitenotifier-3> [nmigen] whitequark commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEAE
<whitequark> simple as that
<whitequark> the 7 segment one would just have pmod0 and pmod1 arguments instead of a single pmod argument
<_whitenotifier-3> [nmigen] whitequark commented on pull request #76: Added TinyBX gpio , fix on programmer. - https://git.io/fjEAa
<mithro> whitequark: Yeah something like what you showed there could work, I'll probably be happy with /anything/ that was "upstream" that people use
<cr1901_modern> LGTM. I would also add a "top" and "bottom" arg to the 7-seg one since either set of 6 pins would work for the 7seg pmod (split across 2 pmods)
<cr1901_modern> What's the "*" in "PmodSPIResource(name, number, *, pmod, extras):" for?
<_whitenotifier-3> [nmigen] mithro commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEA6
<_whitenotifier-3> [nmigen] mithro commented on issue #79: Add a library of connector layouts, too - https://git.io/fjEA9
proteusguy has quit [Ping timeout: 248 seconds]
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 2 commits to master [+17/-16/±1] https://git.io/fjExJ
<_whitenotifier-3> [m-labs/nmigen] whitequark 0fa45b5 - vendor.board: extract package.
<_whitenotifier-3> [m-labs/nmigen] whitequark 3d04122 - examples: reorganize into examples/basic and examples/board.
<whitequark> cr1901_modern: you can't mention pmod as a normal argument
<whitequark> only keyword argument
<whitequark> so you can't do PmodSPIResource("spiflash", 0, 1)
<whitequark> because it's confusing
<cr1901_modern> ahhh
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540839856?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 81% remains the same compared to 2ca0834 - https://codecov.io/gh/m-labs/nmigen/commit/3d04122d55699fb4dfee146995239596a0e64c8a
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 2ca0834...3d04122 - https://codecov.io/gh/m-labs/nmigen/commit/3d04122d55699fb4dfee146995239596a0e64c8a
proteusguy has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+2/-0/±0] https://git.io/fjExE
<_whitenotifier-3> [m-labs/nmigen] whitequark 6426b90 - vendor.conn.pmod: implement.
<_whitenotifier-3> [nmigen] whitequark closed issue #79: Add a library of connector layouts, too - https://git.io/fjEbr
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/540847777?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 81% remains the same compared to 3d04122 - https://codecov.io/gh/m-labs/nmigen/commit/6426b90e4a60a3e0ef5ec727364fffa53ce6f3af
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 3d04122...6426b90 - https://codecov.io/gh/m-labs/nmigen/commit/6426b90e4a60a3e0ef5ec727364fffa53ce6f3af
mumptai has joined #m-labs
adamgreig has quit [*.net *.split]
adamgreig has joined #m-labs
cr1901_modern1 has joined #m-labs
cr1901_modern has quit [Ping timeout: 248 seconds]
cr1901_modern1 has quit [Quit: Leaving.]
cr1901_modern has joined #m-labs
mumptai has quit [Remote host closed the connection]
<TD-Linux> how do I debug an "object cannot be elaborated" error?
<TD-Linux> hmm seems to be an issue with the compat layer. "Module" is not elaboratable
futarisIRCcloud has joined #m-labs
gnufan_home has joined #m-labs