sb0 changed the topic of #m-labs to: https://m-labs.hk :: Mattermost https://chat.m-labs.hk :: Logs http://irclog.whitequark.org/m-labs
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 264 seconds]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 252 seconds]
awe00 has quit [Ping timeout: 258 seconds]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 250 seconds]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 252 seconds]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 250 seconds]
rohitksingh has quit [Ping timeout: 264 seconds]
rohitksingh has joined #m-labs
sb0 has quit [Quit: Leaving]
mauz555 has joined #m-labs
rohitksingh has quit [Ping timeout: 264 seconds]
mauz555 has quit [Ping timeout: 252 seconds]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 252 seconds]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 250 seconds]
mauz555 has joined #m-labs
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
mauz555 has quit [Remote host closed the connection]
mauz555 has joined #m-labs
_whitelogger has joined #m-labs
awe00 has joined #m-labs
mauz555 has quit [Remote host closed the connection]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 252 seconds]
awe00 has quit [Ping timeout: 268 seconds]
mauz555 has joined #m-labs
awe00 has joined #m-labs
awe00 has quit [Ping timeout: 268 seconds]
awe00 has joined #m-labs
awe00 has quit [Ping timeout: 248 seconds]
sb0 has joined #m-labs
awe00 has joined #m-labs
<sb0> whitequark: bah, sigrok turns out to be standard-fare stuff. https://www.reddit.com/r/archlinux/comments/5fz6h6/libusb_timeouts_with_fx2lafw_logic_analyser_and/
<sb0> I'm hitting this all the time and cannot use it
<whitequark> sb0: how is it connected exactly?
<whitequark> I've hit this and the problem is generally that it is plugged into an USB 2 port
<sb0> directly into a USB port of my laptop, it's USB 3
<whitequark> give me a wireshark capture and i might say why this happens
<sb0> the other USB port also has the bug...
<whitequark> yes. USB is nasty like that.
<whitequark> I've spent months getting USB with Glasgow to work reliably.
<whitequark> idiotic design issues, kernel bugs, ...
<whitequark> although 2 MHz seems a bit low to hit this.
<sb0> whitequark: mailed
<sb0> that capture was with 12MHz
<whitequark> well the good news is that now i probably know just about everything there is to know about fx2
<whitequark> anything in dmesg?
<sb0> no, nothing
<whitequark> the URB returns -ENOENT which is indicative of a HCD/kernel issue
<whitequark> if it was the device itself it'd be -EPIPE
<whitequark> can you strace sigrok and show me that too?
<_whitenotifier-3> [nmigen-boards] whitequark reviewed pull request #27 commit - https://git.io/fjFi5
<whitequark> although it could also be urb_cancel so I need the trace
<sb0> whitequark: mailed
<whitequark> interesting
<whitequark> i'll take a look next time i'm in the lab
<whitequark> unfortunately i don't think i have openvizsla with me
<sb0> the bug is more infrequent on another computer, so I'll just use that I suppose
awe00 has quit [Ping timeout: 268 seconds]
sb0_ has joined #m-labs
sb0 has quit [Ping timeout: 246 seconds]
<sb0_> also it seems that once the bug has occured, it occurs more often until you replug the device
<sb0_> or if the previous capture had been interrupted
<whitequark> it's a buffering issue i think
<whitequark> some interplay between fx2lafw, sigrok code and linux
<whitequark> i wonder if it'd be easier to just rip out the usb code from glasgow and see if that fixes it
sb0_ has quit [Quit: Leaving]
<lkcl> whitequark: btw i remember seeing the 0.1 release announcement / request - would a "priority picker" - an optimised back-to-back PriorityEncoder-plus-Decoder - be a useful addition to nmigen utils?
<lkcl> it's just a chain of AND and NOT gates, which is not entirely obvious when you think of connecting a PriorityEncoder's output to a Decoder's input
<whitequark> nmigen.lib is not stabilized in 0.1.
<lkcl> https://git.libre-riscv.org/?p=ieee754fpu.git;a=blob;f=src/nmutil/picker.py;h=d47f785ed55077fccd7dc801ea103dbf42277bfe;hb=refs/heads/master#l9
<lkcl> i just remember seeing a call for "good ideas for utility routines to include"
<lkcl> so wanted to throw the PriorityPicker your way to see what you think
<lkcl> it's genuinely not obvious what's going on there, but it does *NOT* create a cascading gate chain which gets longer and longer as the width gets larger
<lkcl> it *specifically* creates a chain that is only 2 gates deep, regardless of the width.
<whitequark> that isn't the case if you synthesize for FPGAs
<lkcl> ok ok at some point it would be necessary to have a...
<whitequark> since they're kLUT architectures
<lkcl> ok then it would need.... mm... an "if platform == 'FPGA'" option (something like that?)
<whitequark> nope
<lkcl> is there a way to detect if the platform is an FPGA?
<whitequark> no, and that doesn't matter
<lkcl> anyway: i leave the thought with you.
<whitequark> for that matter, a good LUT mapper should be able to give good results for back-to-back encoder and decoder
<whitequark> anyway. yes, a priority picker seems useful.
<whitequark> your module doesn't follow any of nmigen coding standards so it can't be used directly though
<lkcl> not so concerned about that. i threw it together because i needed it for register-port selection on the Out-of-Order processor.
awe00 has joined #m-labs
awe00 has quit [Ping timeout: 272 seconds]
lynxis has quit [Ping timeout: 250 seconds]
lynxis has joined #m-labs
mauz555 has quit [Remote host closed the connection]
mauz555 has joined #m-labs
mauz555 has quit [Ping timeout: 252 seconds]
mumptai has joined #m-labs
mauz555 has joined #m-labs
awe00 has joined #m-labs
awe00 has quit [Ping timeout: 248 seconds]
awe00 has joined #m-labs
mauz555 has quit []
awe00 has quit [Ping timeout: 258 seconds]
awe00 has joined #m-labs
<_whitenotifier-3> [nmigen] whitequark opened issue #175: Consider introducing "local clock domains" - https://git.io/fjF9M
rohitksingh has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 2 commits to master [+0/-0/±4] https://git.io/fjFHe
<_whitenotifier-3> [m-labs/nmigen] whitequark a2241fc - back.{rtlil,verilog}: split convert_fragment() off convert().
<_whitenotifier-3> [m-labs/nmigen] whitequark ada1d6a - build.plat: do not prepare fragments twice.
<_whitenotifier-3> [nmigen] whitequark closed issue #169: Platform default clock - https://git.io/fjHdE
lkcl has quit [Ping timeout: 258 seconds]
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/573998619?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/573998619?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Failure. 80.36% (-0.04%) compared to 8e048c5 - https://codecov.io/gh/m-labs/nmigen/commit/ada1d6a6034fdfbbf1d460b5069416f723a9062d
<_whitenotifier-3> [nmigen] Failure. 80.36% (-0.04%) compared to 8e048c5 - https://codecov.io/gh/m-labs/nmigen/commit/ada1d6a6034fdfbbf1d460b5069416f723a9062d
<_whitenotifier-3> [nmigen] Failure. 0% of diff hit (target 80.4%) - https://codecov.io/gh/m-labs/nmigen/commit/ada1d6a6034fdfbbf1d460b5069416f723a9062d
<_whitenotifier-3> [nmigen] Failure. 0% of diff hit (target 80.4%) - https://codecov.io/gh/m-labs/nmigen/commit/ada1d6a6034fdfbbf1d460b5069416f723a9062d
rohitksingh has quit [Ping timeout: 245 seconds]
rohitksingh has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fjFHQ
<_whitenotifier-3> [m-labs/nmigen] whitequark 2770db6 - tracer: fix typo.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574008983?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.53% (+0.16%) compared to ada1d6a - https://codecov.io/gh/m-labs/nmigen/commit/2770db6de817462852a4c2e923fcb6a691c145da
<_whitenotifier-3> [nmigen] Failure. 50% of diff hit (target 80.36%) - https://codecov.io/gh/m-labs/nmigen/commit/2770db6de817462852a4c2e923fcb6a691c145da
<_whitenotifier-3> [nmigen] Success. 80.36% (+0%) compared to ada1d6a - https://codecov.io/gh/m-labs/nmigen/commit/2770db6de817462852a4c2e923fcb6a691c145da
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 80.36%) - https://codecov.io/gh/m-labs/nmigen/commit/2770db6de817462852a4c2e923fcb6a691c145da
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574008983?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+1/-1/±4] https://git.io/fjFH7
<_whitenotifier-3> [m-labs/nmigen] whitequark 32bfbb1 - formal→asserts
<_whitenotifier-3> [nmigen] whitequark closed issue #171: Avoid using terminology with "formal" for SymbiYosys - https://git.io/fjdIw
rohitksingh has quit [Ping timeout: 245 seconds]
<_whitenotifier-3> [nmigen] whitequark commented on issue #171: Avoid using terminology with "formal" for SymbiYosys - https://git.io/fjFHF
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574010503?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.53% (+0.16%) compared to 2770db6 - https://codecov.io/gh/m-labs/nmigen/commit/32bfbb11cbe9721674082b600eb10ca8656c0178
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 80.36%) - https://codecov.io/gh/m-labs/nmigen/commit/32bfbb11cbe9721674082b600eb10ca8656c0178
<_whitenotifier-3> [nmigen] Success. 80.36% (+0%) compared to 2770db6 - https://codecov.io/gh/m-labs/nmigen/commit/32bfbb11cbe9721674082b600eb10ca8656c0178
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574010503?utm_source=github_status&utm_medium=notification
lkcl has joined #m-labs
rohitksingh has joined #m-labs
rohitksingh has quit [Ping timeout: 245 seconds]
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 4 commits to master [+0/-0/±11] https://git.io/fjF7q
<_whitenotifier-3> [m-labs/nmigen] whitequark 404f99f - hdl.xfrm: consider fragment's own domains in DomainLowerer.
<_whitenotifier-3> [m-labs/nmigen] whitequark 69d36dc - hdl.xfrm: lower resets in DomainLowerer as well.
<_whitenotifier-3> [m-labs/nmigen] whitequark 9bdadbf - back.pysim: index domains by identity, not by name.
<_whitenotifier-3> [m-labs/nmigen] whitequark 003ba3b - hdl.cd: implement local clock domains.
<_whitenotifier-3> [nmigen] whitequark closed issue #175: Consider introducing "local clock domains" - https://git.io/fjF9M
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574052023?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.57% (+0.21%) compared to 32bfbb1 - https://codecov.io/gh/m-labs/nmigen/commit/003ba3b45f4e9f293da61a775cac9bdc6ae9ff6a
<_whitenotifier-3> [nmigen] Success. 100% of diff hit (target 80.36%) - https://codecov.io/gh/m-labs/nmigen/commit/003ba3b45f4e9f293da61a775cac9bdc6ae9ff6a
<_whitenotifier-3> [nmigen] Success. 80.42% (+0.06%) compared to 32bfbb1 - https://codecov.io/gh/m-labs/nmigen/commit/003ba3b45f4e9f293da61a775cac9bdc6ae9ff6a
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574052023?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 3 commits to master [+0/-0/±4] https://git.io/fjF74
<_whitenotifier-3> [m-labs/nmigen] whitequark 71ee64c - README: fix typos.
<_whitenotifier-3> [m-labs/nmigen] whitequark a069d97 - lib.cdc: use a local clock domain in ResetSynchronizer.
<_whitenotifier-3> [m-labs/nmigen] whitequark 77012fc - vendor.lattice_ice40: use a local clock domain in create_missing_domain().
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574055040?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.66% (+0.23%) compared to 003ba3b - https://codecov.io/gh/m-labs/nmigen/commit/77012fc1438f6054334b031f3d1bc816a90c675a
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 003ba3b...77012fc - https://codecov.io/gh/m-labs/nmigen/commit/77012fc1438f6054334b031f3d1bc816a90c675a
<_whitenotifier-3> [nmigen] Success. 80.53% (+0.1%) compared to 003ba3b - https://codecov.io/gh/m-labs/nmigen/commit/77012fc1438f6054334b031f3d1bc816a90c675a
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574055040?utm_source=github_status&utm_medium=notification
mumptai has quit [Remote host closed the connection]
rohitksingh has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±5] https://git.io/fjF5z
<_whitenotifier-3> [m-labs/nmigen] whitequark 1331605 - build.plat, hdl.ir: coordinate missing domain creation.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574074434?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fjF55
<_whitenotifier-3> [m-labs/nmigen] whitequark 7ca29a5 - Fix nmigen.__version__ to work on git-archive artifacts.
<_whitenotifier-3> [nmigen] whitequark closed issue #137: Versioneer breaks on git-archive (e.g. GitHub zip) downloads - https://git.io/fjimJ
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574080926?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574080926?utm_source=github_status&utm_medium=notification
rohitksingh has quit [Ping timeout: 250 seconds]
rohitksingh has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fjFdL
<_whitenotifier-3> [m-labs/nmigen] whitequark 5ad409e - back.verilog: parse output of `yosys -V`.
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574086237?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.61% (+0.13%) compared to 7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/5ad409e8974eacc618136a692faeea6f8ad47faa
<_whitenotifier-3> [nmigen] Success. 84.61% of diff hit (target 80.48%) - https://codecov.io/gh/m-labs/nmigen/commit/5ad409e8974eacc618136a692faeea6f8ad47faa
<_whitenotifier-3> [nmigen] Success. Absolute coverage decreased by -<.01% but relative coverage increased by +4.13% compared to 7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/5ad409e8974eacc618136a692faeea6f8ad47faa
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574086237?utm_source=github_status&utm_medium=notification
awe00 has quit [Ping timeout: 245 seconds]