sb0 changed the topic of #m-labs to: https://m-labs.hk :: Mattermost https://chat.m-labs.hk :: Logs http://irclog.whitequark.org/m-labs
rohitksingh has quit [Ping timeout: 264 seconds]
rohitksingh has joined #m-labs
<_whitenotifier-3> [nmigen] whitequark closed pull request #168: test.test_examples: Convert pathlib-specific class to string. - https://git.io/fjHMD
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/fjFFu
<_whitenotifier-3> [m-labs/nmigen] cr1901 c934fc6 - test.test_examples: Convert pathlib-specific class to string.
<_whitenotifier-3> [nmigen] whitequark commented on pull request #168: test.test_examples: Convert pathlib-specific class to string. - https://git.io/fjFFz
<_whitenotifier-3> [nmigen] whitequark commented on pull request #45: lib.io: import CRG from Migen. - https://git.io/fjFFg
<_whitenotifier-3> [nmigen] whitequark closed pull request #45: lib.io: import CRG from Migen. - https://git.io/fjftx
rohitksingh has quit [Ping timeout: 250 seconds]
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574106805?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 5ad409e - https://codecov.io/gh/m-labs/nmigen/commit/c934fc66e90a768d9cf6088b7d3cacf1ab1bc136
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 5ad409e - https://codecov.io/gh/m-labs/nmigen/commit/c934fc66e90a768d9cf6088b7d3cacf1ab1bc136
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 5ad409e...c934fc6 - https://codecov.io/gh/m-labs/nmigen/commit/c934fc66e90a768d9cf6088b7d3cacf1ab1bc136
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 5ad409e...c934fc6 - https://codecov.io/gh/m-labs/nmigen/commit/c934fc66e90a768d9cf6088b7d3cacf1ab1bc136
<_whitenotifier-3> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/574106805?utm_source=github_status&utm_medium=notification
rohitksingh has joined #m-labs
_whitelogger has joined #m-labs
plonk has quit [Remote host closed the connection]
plonk has joined #m-labs
plonk has quit [Changing host]
plonk has joined #m-labs
_whitelogger has joined #m-labs
_whitelogger has joined #m-labs
futarisIRCcloud has joined #m-labs
<_whitenotifier-3> [smoltcp] HeroicKatora commented on pull request #285: [WIP. Don't merge] Resilience to error in packet decoding - https://git.io/fjFN7
rohitksingh has quit [Ping timeout: 264 seconds]
rohitksingh has joined #m-labs
rohitksingh has quit [Ping timeout: 250 seconds]
<TD-Linux> in migen is there a way for a signal to be wired up as a global reset?
<_whitenotifier-3> [smoltcp] HeroicKatora closed pull request #285: [WIP. Don't merge] Resilience to error in packet decoding - https://git.io/fjFAF
<lkcl> whitequark: is there a yosys "cell" for an And-Or-Invert (AOI) logic block? https://en.wikipedia.org/wiki/AND-OR-Invert apparently these can be implemented more efficiently than doing it as two ANDs and a NOR [or a single AND and a NOR]
<lkcl> more to the point: if one was ever added, would you be happy to add nmigen support for it?
<TD-Linux> lkcl, FPGAs implement boolean logic via LUTs, so it's not more efficient for those
<lkcl> TD-Linux: thank you. the Libre RISCV SoC is not targetting an FPGA.
<lkcl> it'll be a multi-million gate ASIC with a 40 GMACs FPU.
<lkcl> quad-core, 800mhz, variable-issue out-of-order
<lkcl> _big_ :)
<TD-Linux> you're going to add an asic techlib to yosys?
<lkcl> if one doesn't already exist, then that's what we'll need to do.
<lkcl> however i'm hoping that lip6.fr beat us to it on that
<lkcl> they're planning to do a RISC-V SoC using entirely libre layout tools (they're the developers behind alliance / coriolis2), tape-out early 2020
<lkcl> and they'll need yosys to do the netlists.
<daveshah> TD-Linux: Yosys has had ASIC synthesis from pretty much day one
<TD-Linux> daveshah, oh do you just use the generic "synth" script for that?
<daveshah> Usually you would use `abc -liberty` afterwards to map to a specific liberty file
<daveshah> This also uses abc to do things like buffer sizing
<TD-Linux> ah I see. that's why there's no synth_liberty
<daveshah> Plus you might also want some custom passes depending on what you are doing
<TD-Linux> whitequark, btw all my sim/synth mismatches are fixed on latest master nmigen. midiori works
<TD-Linux> what's the idiomatic way to fix DriverConflict warnings? in migen overriding stuff in order seemed to be normal
<TD-Linux> I can make priority encoders of course but that's a lot more verbose than oMigen
emily has joined #m-labs
cedric has quit [Quit: ZNC 1.7.1 - https://znc.in]
cedric has joined #m-labs
cedric has joined #m-labs
cedric has quit [Changing host]
qinfengling has quit [Ping timeout: 245 seconds]
qinfengling has joined #m-labs
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. 80.48% remains the same compared to 1331605 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<_whitenotifier-3> [nmigen] Success. Coverage not affected when comparing 1331605...7ca29a5 - https://codecov.io/gh/m-labs/nmigen/commit/7ca29a574875375377a6016e652f3be5790828ed
<emily> is _whitenotifier-3 okay.
awe00 has joined #m-labs
<mtrbot-ml> [mattermost] <miguel_moreno> miguel_moreno joined the team.
<_whitenotifier-3> [smoltcp] whitequark commented on pull request #285: [WIP. Don't merge] Resilience to error in packet decoding - https://git.io/fjbTH
<whitequark> TD-Linux: a "global reset" is not an ubiquitous FPGA primitive, e.g. ice40 doesn't have it
<whitequark> and ecp5 has it but it's not supported by nextpnr
<whitequark> you want to wire something to all your clock domains or something like that
<whitequark> lkcl: yosys has a cell for AOI, and you can instantiate it in nmigen just fine. nmigen will not have an AST node for AOI because it's the wrong abstraction level. you can describe it behaviorally if you want.
<whitequark> TD-Linux: regarding DriverConflict, well, omigen flattened the hierarchy, nmigen tries to not do that
<whitequark> note that just overriding stuff in order in a *single module* is just fine. doing this *across modules* is the problem
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
olofk has joined #m-labs
<olofk> Hi all. Looking for some Migen/Litex hand-holding
<olofk> I have a core that contains a bunch of CSRStorage/CSRStatus. Now I want to expose a single axi/wb slave interface but I have no idea how to put this together
<mtrbot-ml> [mattermost] <sb10q> You call get_csrs on your core to get the description, and this csrbank will give you a wishbone interface
<olofk> cool, thanks! So now I have a CSRBank object. Do I add it as a submodule and start pulling out the pins with platform.request? I'm generating a stand-alone migen core in a verilog-based SoC
<olofk> Fantastic! I think I got it rigt
<olofk> Now I think I've seen some method to also generate a csv or similar with the register map.
<olofk> I could pick it out from the generated verilog. That's good enough for now
<olofk> Now I just have to figure out how the core actually works :)
<_whitenotifier-3> [nmigen] whitequark created branch vendor.lattice_ecp5-diamond - https://git.io/fhUU5
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to vendor.lattice_ecp5-diamond [+0/-0/±1] https://git.io/fjbtY
<_whitenotifier-3> [m-labs/nmigen] whitequark 274f279 - vendor.lattice_ecp5: add Diamond support. (WIP)
<_whitenotifier-3> [nmigen] whitequark opened pull request #176: vendor.lattice_ecp5: add Diamond support (WIP) - https://git.io/fjbts
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574325646?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574325646?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to vendor.lattice_ecp5-diamond [+0/-0/±1] https://git.io/fjbt8
<_whitenotifier-3> [m-labs/nmigen] whitequark aa9f85f - vendor.lattice_ecp5: add Diamond support. (WIP)
<_whitenotifier-3> [nmigen] whitequark synchronize pull request #176: vendor.lattice_ecp5: add Diamond support (WIP) - https://git.io/fjbts
awe00 has quit [Ping timeout: 258 seconds]
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574327857?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574327857?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574331363?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574331363?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574331381?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574331381?utm_source=github_status&utm_medium=notification
awe00 has joined #m-labs
<_whitenotifier-3> [m-labs/nmigen] whitequark pushed 1 commit to vendor.lattice_ecp5-diamond [+0/-0/±1] https://git.io/fjbYY
<_whitenotifier-3> [m-labs/nmigen] whitequark 0aa6ea6 - vendor.lattice_ecp5: add Diamond support. (WIP)
<_whitenotifier-3> [nmigen] whitequark synchronize pull request #176: vendor.lattice_ecp5: add Diamond support (WIP) - https://git.io/fjbts
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574353852?utm_source=github_status&utm_medium=notification
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574353811?utm_source=github_status&utm_medium=notification
awe00 has quit [Ping timeout: 272 seconds]
olofk has quit [Ping timeout: 260 seconds]
<_whitenotifier-3> [nmigen] Error. The Travis CI build could not complete due to an error - https://travis-ci.org/m-labs/nmigen/builds/574353852?utm_source=github_status&utm_medium=notification
awe00 has joined #m-labs
sb0 has joined #m-labs
<mtrbot-ml> [mattermost] <max> max joined the team.
<_whitenotifier-3> [nmigen] AbigailCliche opened issue #177: nmigen installation seeks invalid URL - https://git.io/fjbnB
<_whitenotifier-3> [nmigen] whitequark commented on issue #177: nmigen installation seeks invalid URL - https://git.io/fjbng
awe00 has quit [Ping timeout: 246 seconds]
awe00 has joined #m-labs
<TD-Linux> whitequark, I see. it seems like my FSM counts as its own module?
<whitequark> TD-Linux: can you show me the code?
<TD-Linux> whitequark, your UART example does it, e.g. this fragment https://paste.debian.net/1096712/
<TD-Linux> tx_counter is driven both in the IDLE state, as well as the counter logic in the sync block above
<whitequark> TD-Linux: you're using nmigen.compat. do not use that
<TD-Linux> ah this will go away when I convert everything off of compat?
<whitequark> yep
<TD-Linux> cool
<whitequark> there is intentionally no effort to make nmigen.compat flow idiomatic. it exists to let you migrate off omigen partially
<TD-Linux> as for reset, I didn't imagine it using the fpga reset signal, but just inserting a synchronous reset on everything within that clock domain (as nmigen already knows all the reset values)
<whitequark> I'm confused. what's wrong with driving ResetSignal("domain") ?
<TD-Linux> whitequark, that's the answer I was after. thanks
<whitequark> note that ResetSignal("sync") without explicitly instantiating ClockDomain("sync") won't work
<whitequark> well, not guaranteed to. on iCE40 for example there is some code in the vendor support that makes sure your design is reset until BRAMs are initialized
<whitequark> you can make a new domain, or copy that code into your design
<TD-Linux> whitequark, oh cool you were able to patch around that bug? neat
<whitequark> yep
mumptai has joined #m-labs
awe00 has quit [Ping timeout: 272 seconds]
_whitenotifier-3 has quit [Remote host closed the connection]
awe00 has joined #m-labs
<whitequark> .
awe00 has quit [Ping timeout: 248 seconds]
awe00 has joined #m-labs
<cr1901_modern> >you can make a new domain, or copy that code into your design
<cr1901_modern> I must be missing context, but how will making a new domain automatically import the ice40 vendor support code into one's design?
<whitequark> it won't
X-Scale has quit [Read error: Connection reset by peer]
mauz555 has joined #m-labs
mumptai has quit [Remote host closed the connection]
_whitelogger has joined #m-labs
_whitelogger_ has joined #m-labs
_whitelogger_ has joined #m-labs
_whitelogger__ has joined #m-labs
_whitelogger__ has joined #m-labs
_whitelogger__ has joined #m-labs
_whitelogger___ has joined #m-labs
_whitelogger___ has joined #m-labs
_whitelogger___ has joined #m-labs
_whitelogger___ has joined #m-labs
_whitelogger_ has quit [Remote host closed the connection]
_whitelogger_ has quit [Remote host closed the connection]
_whitelogger_ has quit [Remote host closed the connection]
_whitelogger has joined #m-labs
_whitelogger has joined #m-labs