sb0 changed the topic of #m-labs to: https://m-labs.hk :: Mattermost https://chat.m-labs.hk :: Logs http://irclog.whitequark.org/m-labs
zng has quit [Read error: Connection reset by peer]
zng has joined #m-labs
Stormwind_mobile has joined #m-labs
lolsborn has joined #m-labs
_whitelogger has joined #m-labs
X-Scale has quit [Ping timeout: 265 seconds]
X-Scale has joined #m-labs
<_whitenotifier> [nmigen] whitequark commented on issue #220: Prune Yosys-internal attributes in emitted Verilog - https://git.io/JeEN3
<_whitenotifier> [nmigen] whitequark closed issue #220: Prune Yosys-internal attributes in emitted Verilog - https://git.io/JesJm
emily has quit [Remote host closed the connection]
emily has joined #m-labs
cedric has quit [Ping timeout: 276 seconds]
cedric has joined #m-labs
cedric has quit [Changing host]
cedric has joined #m-labs
<_whitenotifier> [smoltcp] whitequark commented on pull request #312: dhcp:max message size option - https://git.io/JeEpO
cr1901_modern1 has joined #m-labs
cr1901_modern has quit [Ping timeout: 240 seconds]
attie has joined #m-labs
lolsborn has quit [Quit: ZNC 1.6.3+deb1ubuntu0.2 - http://znc.in]
lolsborn has joined #m-labs
electronic_eel_ has quit [Ping timeout: 268 seconds]
electronic_eel has joined #m-labs
cr1901_modern1 has quit [Quit: Leaving.]
cr1901_modern has joined #m-labs
early has quit [Ping timeout: 246 seconds]
early has joined #m-labs
electronic_eel has quit [Ping timeout: 245 seconds]
electronic_eel has joined #m-labs
attie has quit [Ping timeout: 265 seconds]
<Astro-_> my memtest works on the zc706 \o/
attie has joined #m-labs
<mtrbot-ml> [mattermost] <astro> @sb10q @harryho @whitequark could someone please reset the zc706? (no vivado on arm, right?)
lolsborn has quit [Ping timeout: 268 seconds]
attie has quit [Ping timeout: 268 seconds]
Stormwind_mobile has quit [Remote host closed the connection]
<mtrbot-ml> [mattermost] <hartytp> @astro cool!
<mtrbot-ml> [mattermost] <sb10q> @astro nice!
<mtrbot-ml> [mattermost] <sb10q> @astro I thought openocd was working well now?
Getorix has joined #m-labs
Getorix has quit [Ping timeout: 240 seconds]
<_whitenotifier> [nmigen] RobertBaruch commented on issue #256: Consider adding a --platform (or -p) option to generate - https://git.io/JeuTy
<_whitenotifier> [nmigen] RobertBaruch closed issue #256: Consider adding a --platform (or -p) option to generate - https://git.io/JeB5p
<_whitenotifier> [nmigen] RobertBaruch opened issue #260: Simple memory doesn't seem to work with a simple Cover statement? - https://git.io/JeuTH
<_whitenotifier> [nmigen] whitequark commented on issue #260: Simple memory doesn't seem to work with a simple Cover statement? - https://git.io/JeuTQ