tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
az0re has quit [Remote host closed the connection]
<cjearls> I know I can't just throw it on and tie the signals to 0 because most of the logic will be optimized away, so I'm looking for a way to prevent this. If it's not possible to prevent, how can I configure the pins on my FPGA? For reference, I am using an orangecrab board
az0re has joined #symbiflow
join_subline has quit [Ping timeout: 264 seconds]
join_sub1ine has joined #symbiflow
<umarcor|2> @HackerFoo, @litghost, you might find https://ghdl.github.io/ghdl-cosim/vhpidirect/examples/shared.html interesting.
<tpb> Title: Shared libs and dynamic loading GHDL-cosim latest documentation (at ghdl.github.io)
<umarcor|2> That's about loading shared libraries in Python, (re)defining some functions/variables and then using them from VHDL.
<Lofty> cjearls: nextpnr-ecp5 has an option for "out of context" mode, which just ignores the I/O pins
<tpb> Title: Issue 41348: Support replacing global function pointers in a shared library - Python tracker (at bugs.python.org)
<Lofty> (it's designed for blocks to be placed and routed to be used for other things)
<HackerFoo> umarcor|2: Thanks
<umarcor|2> You can ignore the VHDL part, of course. The point is that ctypes allows interacting with any language that understands C prototypes, not only C/C++.
<umarcor|2> And, as you said, it's built in Python, so no additional deps are required.
<cjearls> Lofty: So I can just use my design as the top Verilog file and use "out of context" mode and everything should just work? Is there anything else I need to do?
<Lofty> Nope, that's it.
<Lofty> You can use things like pack or place only to get faster but less accurate answers
<Lofty> It is entirely possible to have a design which fits neatly into the chip but is not routable, though, so keep that in mind
<Lofty> In my experience nextpnr is fast enough that it's generally easy to go through the whole cycle
<Lofty> cjearls: oh, and you're building with 'synth_ecp5 -abc9' right?
<cjearls> Not currently, the command I was going to run was "nextpnr-ecp5 --25k --out-of-context"
<cjearls> Lofty: How should my directory be set up? Are there any other arguments I need?
<Lofty> Well, you need the --json, but other than that, no; the defaults are fine
<Lofty> And nextpnr doesn't really do much to a directory (which is good)
<Lofty> So it doesn't matter
<cjearls> Lofty: I've never run Symbiflow on its own without a premade script before
<cjearls> So I need to call yosys, then nextpnr, correct?
<umarcor|2> cjearls, are you familiar with makefiles?
<cjearls> umarcor|2: Yes
<umarcor|2> That's a blink example for the orangecrab using a makefile.
<Lofty> `yosys -p "synth_ecp5 -abc9 -json netlist.json" <Verilog files>; nextpnr-ecp5 --25k --out-of-context --json netlist.json`
<umarcor|2> There you can see yosys + nextpnr + ecppack
<cjearls> umarcor|2: Thank you, Highly appreciated
<cjearls> Lofty: Thank you as well
<umarcor|2> You need to adapt it to the guidelines that Lofty provided, tho
<Lofty> umarcor|2: but that's... not what was asked for
<cjearls> I'm new to most of this, so if it's entirely possible that I'm not asking for the right things
<umarcor|2> Lofty, I'm providing it for him/her to understand the steps involved in generating a bitstream from HDL sources: synthesis, implementation and packaging.
<Lofty> Either way: the number one way you can improve the quality of result of Yosys for ECP5 is that magic '-abc9' option
<cjearls> Very interesting, I wonder what that does
<cjearls> It looks like it sets the ABC logic synthesis tool to 9, which I guess is the maximum optimization level
<Lofty> cjearls: hah, no. It's ABC v9 :P
<cjearls> RIP, I tried
<cjearls> Lofty: Thanks for the clarification
FFY00 has quit [Remote host closed the connection]
<Lofty> Well, the way things are going, it's going to be my job to improve docs there
FFY00 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
<Lofty> After I wrote something that beats ABC, anyway :P
FFY00 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
<_whitenotifier-f> [fpga-interchange-constraints] litghost opened issue #4: The current "at most one" encoding is not optimal - https://git.io/JkCrQ
<cjearls> Lofty: Has anyone done any comparisons of the utilization or clock speeds of Symbiflow vs the manufacturer tools?
FFY00 has joined #symbiflow
<Lofty> Not specifically for ECP5
<Lofty> https://github.com/symbiflow/fpga-tool-perf tries to do this in general, but yeah
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
<Lofty> There's kind of a -O3 type mode for ABC9, but it's a bit of a mess
Degi has quit [Ping timeout: 260 seconds]
FFY00 has joined #symbiflow
Degi has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
<Lofty> (anyway, I'm going to sleep, it's 2am)
<cjearls> Lofty: Thanks for your help, very much appreciated
FFY00 has quit [Remote host closed the connection]
citypw has joined #symbiflow
FFY00 has joined #symbiflow
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
<cjearls> When I run yosys, It runs for a while, then says "Terminated" but doesn't generate the netlist.json
perillamint has quit [Ping timeout: 272 seconds]
perillamint has joined #symbiflow
OmniMancer has joined #symbiflow
QDX45 has joined #symbiflow
QDX45_ has quit [Ping timeout: 272 seconds]
litghost has quit [Ping timeout: 272 seconds]
litghost has joined #symbiflow
<_whitenotifier-f> [symbiflow-arch-defs] the-centry opened issue #1779: Why the kintex7'db - https://git.io/JkWTh
<_whitenotifier-f> [symbiflow-arch-defs] the-centry opened issue #1780: Why the kintex7'dbs exist in prjxray-db but the symbiflow can't use k7's device? - https://git.io/JkWkj
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 256 seconds]
<sf-slack4> <olof.kindgren> @cjearls: You might be interested in Edalize. That will generate the project files for any of the 25 EDA tool flows currently supported
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
TMM has quit [Remote host closed the connection]
TMM has joined #symbiflow
OmniMancer has quit [Read error: Connection reset by peer]
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 256 seconds]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 272 seconds]
<_whitenotifier-f> [fpga-tool-perf] acomodi opened issue #271: Daisho USB design failing - https://git.io/JklkZ
citypw has quit [Ping timeout: 240 seconds]
<_whitenotifier-f> [fpga-tool-perf] acomodi opened issue #272: Create error codes to get more information on build statuses - https://git.io/JklYc
<cjearls> @olof.kindgren Thanks for the recommendation
<cjearls> I ran the netlist that gets "Terminated" with some smaller hardware and it ran fine, so seems like my design was too big for the board
<_whitenotifier-f> [fpga-tool-perf] mithro opened issue #273: Integrate build result publishing - https://git.io/Jklum
<sf-slack4> <olof.kindgren> @cjearls: And if you want to know more about Edalize I can recomment the award-winning introduction video
<Lofty> You can't just keep giving yourself awards, olof :P
<sf-slack4> <olof.kindgren> @lofty: But, but... that's the only way I will get any awards!?!
<Lofty> :P
<_whitenotifier-f> [symbiflow-arch-defs] litghost opened issue #1783: Remove `VTR + symbiflow-arch-defs` step from arch-defs builds - https://git.io/JkloG
<_whitenotifier-f> [conda-packages] HackerFoo opened issue #144: CI fails on forks if user doesn't have their own channel at conda.anaconda.org - https://git.io/JklMA
az0re has quit [Remote host closed the connection]
az0re has joined #symbiflow
awordnot has quit [Ping timeout: 264 seconds]
awordnot has joined #symbiflow
QDX45 has quit [Remote host closed the connection]
awordnot has quit [Read error: Connection reset by peer]
awordnot has joined #symbiflow
maartenBE has quit [Ping timeout: 272 seconds]
cjearls has quit [Quit: Leaving]
maartenBE has joined #symbiflow