gregdavill has joined ##openfpga
<sorear> so we have a vaguely sha2-like structure but with a more complicated round function, no message expansion, and no attempt to ensure reversibility
<sorear> the last one is going to be Bad on long files b/c internal collisions, but it seems like you're limited to 4kb
<sorear> data dependent rotations, too
<sorear> the lack of message expansion probably means this is a great target for differential
<sorear> but uh idk
<awygle> yeah, only 4kb (minus 0x40)
emeb_mac has joined ##openfpga
genii has quit [Quit: See you soon.]
sgstair has quit [Read error: Connection reset by peer]
<Stary> ooh custom n64 bootcode could be interesting, afaik one of the toolchains currently just ships the 6102 version and the other asks you to get it
<Stary> i've been poking at a n64 cart emulator w/ ice40 for the past couple months or so as a way to get to grips with fpga stuff properly
emeb_mac has quit [Ping timeout: 265 seconds]
emeb has quit [Ping timeout: 240 seconds]
emeb has joined ##openfpga
mumptai_ has joined ##openfpga
emeb_mac has joined ##openfpga
mumptai has quit [Ping timeout: 264 seconds]
Degi has quit [Ping timeout: 240 seconds]
<azonenberg> daveshah, lain, etc: so re the 130nm efabless skywater etc stuff
<azonenberg> Who's interested in putting together a team to design a true open FPGA?
Degi has joined ##openfpga
<lain> I'm not sure how much I could contribute, but count me in
<sorear> how much scary analog / custom layout is that?
<whitequark> azonenberg: i could probably do yosys and nmigen
<pie_> :O
<azonenberg> whitequark: my thought was to do the actual fpga core in systemverilog, but i'd have no objection to nmigen support for user designs
<azonenberg> i think the first step would be to do a bit more research on e.g. what sram macros and such will be available
<whitequark> azonenberg: yeah that was the idea, i won't have time to work on the core
<azonenberg> ah ok
<whitequark> but i can provide tooling most likely
<azonenberg> yeah i wanted to do the core myself but i will need help with tooling
<azonenberg> also, input from tooling developers on architecture
<azonenberg> i'm a firm believer in hw-sw codesign
<azonenberg> design the hardware for the software that has to interface with it, and vice versa
<azonenberg> i.e. the antithesis of intel's approach :p
<azonenberg> My thought is to target a soc application that has an fpga plus a risc-v core
<azonenberg> the riscv will have some dedicated SRAM and boot off an attached spi flash, the fpga will boot off a second spi flash. Both are completely independent and you can use the fpga without the cpu and vice versa
<azonenberg> however the cpu will have minimal peripherals other than the fpga
<azonenberg> i want to avoid falling into the trap of zynq where the fpga is just a peripheral for the cpu and is a pain to use solo
<azonenberg> i want an fpga with an attached processor
<mwk> heh
<azonenberg> probably no hardware multipliers, this isn't going to be too useful for heavy DSP
jaseg has quit [Ping timeout: 272 seconds]
<azonenberg> block ram is a must, 1-2 plls if there's an open IP available
<mwk> as of ultrascale, zynq can be used without the cpu, and it's called kintex :p
<azonenberg> if not, just run directly off external clocks
<azonenberg> mwk: lol
<mwk> (srsly they use the same dies)
<azonenberg> wait what?
<azonenberg> kintex ultrascale is a zu with the cpu disabled?
jaseg has joined ##openfpga
<mwk> kintex ultrascale+, except for xcku3p and xcku5p, is zynq with disabled cpu
<azonenberg> wooow
<sorear> you don't want to try to yolo a pll? :p
<azonenberg> sorear: i was actually thinking a DLL might be easy enough to yolo in pure digital logic
<azonenberg> like a spartan6 DCM
<sorear> you mean a DPLL?
<azonenberg> its a jitter machine, but usable for some level of clock synthesis
<mwk> xcku9p == xczu9, xcku11p == xczu11, xcku13p == xczu15, xcku15p == xczu19
<azonenberg> mwk: do they at least have extra gpios to pin out?
<azonenberg> rather than having all of the MIO pins just be NC balls?
<mwk> yeah, the packages are different
<azonenberg> sorear: i mean a pll where the oscillator is a tunable ring oscillator
<azonenberg> i.e. a variable tap delay line plus an inverter
<azonenberg> anyway, i think we need to wait another few weeks before we make any decisions about architecture etc
<azonenberg> because we dont have enough info
<azonenberg> the pdk isnt fully released, we don't have details on ram compilers etc
<azonenberg> or even how fast the io cells are
<sorear> oh that's something I hadn't considered
<azonenberg> sorear: that's what a DCM is
<azonenberg> it effectively DDS's the target frequency by jittering between taps above and below
<azonenberg> because it's constantly a little too fast or slow
<azonenberg> the long term trend can be very close but short term there's a lot of jitter
<sorear> was thinking of the classic current-starved ring oscillator PLL versus. a PLL where the ring oscillator is implemented with conditional inverters in parallel so that the drive strength, and thus delay, can be digitally tuned
<azonenberg> yeah this isnt that afaik
sgstair has joined ##openfpga
<azonenberg> see top graph
<azonenberg> period of a DCM output clock after some smoothing to clean up sampling noise
<azonenberg> you can see it's a bimodal distribution
<azonenberg> ... which is also modulated by power supply voltage as seen in the bottom graph, this board had some power integrity problems. But that's besides the point
<azonenberg> it's possible they use drive strength tuning but i think it's a delay line
<azonenberg> at least that's how i'd build one
<mwk> azonenberg: btw I wouldn't be surprised if the 7-series zynq were actually usable as standalone FPGAs; the dedicated FPGA config pins are there, just disguised as RSVD (but the mapping is pretty obvious when you look at bsdl files, they're in the same scan chain positions)
<azonenberg> Yeah
<azonenberg> I've thought about trying it at some point but havent bothered to spin a board. no point when you can just buy an artix
<mwk> right
<sorear> do the kintexes have gpus?
<azonenberg> sorear: the zynq ultrascale+ have some models with a basic gpu iirc
<mwk> disabled along with the cpu, yea
<azonenberg> its nothing fancy
<azonenberg> mali 400 or something like that
<mwk> standard-issue mali, yes
<sorear> azonenberg: yeah I just looked up the product table and I'm wondering which corresponds to kintex
<azonenberg> mwk: But basically what i want to build is something between a psoc and a zynq
<mwk> 04:16:12 < mwk> xcku9p == xczu9, xcku11p == xczu11, xcku13p == xczu15, xcku15p == xczu19
<mwk> cg or eg? doesn't matter, they're the same silicon
<azonenberg> I think it makes sense to have a few hard IP peripherals for the cpu to save fpga gates, but route them to I/O pads through the FPGA
<sorear> according to the product table I looked at, xczu19 is not a complete product ID
<sorear> there's like an ev or something
<mwk> sorear: xczu19cg and xczu19eg are the same die, cg just happens to have gpu and two cpu cores disabled (sense a pattern here?)
<sorear> azonenberg: the question becomes whether the cpu is fully usable while the fpga is being (re)configured
<mwk> you may also find information about xazu*; this is the exact same thing as xczu*, but sold for purposes of stuffing it into an automobile's ECU
<azonenberg> mwk: might be automotive grade packaging
<mwk> or about xqzu*, which is sold for purposes of killing people
<azonenberg> i suspect XQ / XA / XC are same silicon but more robust packaging
<mwk> yea, same
<mwk> that and temperature range
<azonenberg> and possibly binned/tested to more extreme temps
<azonenberg> exactly
<azonenberg> sorear: i didn't plan to support PR in our chip
<implr> mwk: can you convince the disabled parts to undisable themselves?
<implr> or is it an actual hw fuse
<azonenberg> well if the pins arent bonded out
<azonenberg> it's of questionable utility
<mwk> implr: haven't tried, but given that it's trivial to fuse it, I'm going to assume they did
<mwk> azonenberg: I'm assuming he was referring to cg vs eg
<mwk> ie. two more cpu cores and gpu
<azonenberg> anyway yeah, this sounds like a really fun project if people are interested
<azonenberg> And i actually have some experience with silicon characterization and asic bringup
calle__ has joined ##openfpga
mumptai_ has quit [Ping timeout: 264 seconds]
* pie_ slowly grinds through a materials science textbook, still feels like he barely knows anything
<sorear> fascinating, I doubt I'll be of much use though
Bike has quit [Quit: leaving]
zl2cco has joined ##openfpga
emeb has left ##openfpga [##openfpga]
gregdavill has quit [Ping timeout: 265 seconds]
kiboneu has quit [Quit: bye]
kiboneu has joined ##openfpga
_franck_5 has joined ##openfpga
_franck_ has quit [Ping timeout: 264 seconds]
_franck_5 is now known as _franck_
zl2cco has quit [Quit: Connection closed for inactivity]
emeb_mac has quit [Quit: Leaving.]
hitomi2503 has joined ##openfpga
craigjb_ has quit [Ping timeout: 246 seconds]
OmniMancer has joined ##openfpga
craigjb has joined ##openfpga
Falteckz has quit [Quit: Connection closed for inactivity]
Asu has joined ##openfpga
gregdavill has joined ##openfpga
Asu has quit [Remote host closed the connection]
Asu has joined ##openfpga
Asu has quit [Remote host closed the connection]
X-Scale` has joined ##openfpga
X-Scale has quit [Ping timeout: 246 seconds]
X-Scale` is now known as X-Scale
Asu has joined ##openfpga
_whitelogger has joined ##openfpga
Asu has quit [Read error: Connection reset by peer]
Asu has joined ##openfpga
Asu has quit [Remote host closed the connection]
Asu has joined ##openfpga
Bike has joined ##openfpga
degasus has joined ##openfpga
kiboneu_ has joined ##openfpga
kiboneu has quit [Ping timeout: 260 seconds]
ZipCPU has quit [Excess Flood]
ZipCPU has joined ##openfpga
gregdavill has quit [Ping timeout: 256 seconds]
Miyu has joined ##openfpga
hackkitten has quit [Ping timeout: 272 seconds]
Miyu is now known as hackkitten
hitomi2503 has quit [Quit: Nettalk6 - www.ntalk.de]
<sorear> is any of the information about the skywater thing available in non-video format?
OmniMancer has quit [Quit: Leaving.]
lexano has quit [Ping timeout: 256 seconds]
lexano has joined ##openfpga
<dkozel> the github page and read the docs have about as much info as the video
calle__ has quit [Read error: Connection reset by peer]
calle__ has joined ##openfpga
mkru has joined ##openfpga
anticw has quit [*.net *.split]
zyp has quit [*.net *.split]
danilonc has quit [*.net *.split]
show1 has quit [*.net *.split]
grazfather has quit [*.net *.split]
Morn_ has quit [*.net *.split]
shapr has quit [*.net *.split]
MicroHex has quit [*.net *.split]
brizzo has quit [*.net *.split]
bsilvereagle has quit [*.net *.split]
shapr has joined ##openfpga
Morn_ has joined ##openfpga
anticw has joined ##openfpga
show1 has joined ##openfpga
bsilvereagle has joined ##openfpga
zyp has joined ##openfpga
brizzo has joined ##openfpga
shapr is now known as Guest4052
Degi has quit [Ping timeout: 246 seconds]
grazfather has joined ##openfpga
danilonc has joined ##openfpga
calle__ has quit [Remote host closed the connection]
Degi has joined ##openfpga
zyp has quit [*.net *.split]
sgstair has quit [*.net *.split]
m_hackerfoo has quit [*.net *.split]
m4gul0_ has quit [*.net *.split]
horizon has quit [*.net *.split]
zng has quit [*.net *.split]
gtw has quit [*.net *.split]
Mimoja has quit [*.net *.split]
somlo has quit [*.net *.split]
pepijndevos has quit [*.net *.split]
sgstair has joined ##openfpga
zyp has joined ##openfpga
MicroHex has joined ##openfpga
m_hackerfoo has joined ##openfpga
m4gul0_ has joined ##openfpga
horizon has joined ##openfpga
gtw has joined ##openfpga
zng has joined ##openfpga
somlo has joined ##openfpga
Mimoja has joined ##openfpga
pepijndevos has joined ##openfpga
ym has joined ##openfpga
maciejjo has quit [Ping timeout: 256 seconds]
maciejjo has joined ##openfpga
Guest4052 is now known as shapr`
shapr` is now known as shapr
shapr is now known as Guest90142
Guest90142 is now known as shapr`
mkru has quit [Quit: Leaving]
emeb_mac has joined ##openfpga
Asu has quit [Remote host closed the connection]
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined ##openfpga
gregdavill has joined ##openfpga
gregdavill has quit [Ping timeout: 246 seconds]
emeb has joined ##openfpga
<mithro> Anyone have the time to test if the following pull request works for them? https://github.com/google/skywater-pdk/pull/33
Falteckz has joined ##openfpga
<miek> mithro: i got Unable to checkout 'cb9c90b1f0193ea950c2a49c5e85df1c04deb252' in submodule path 'libraries/sky130_fd_sc_hd/v0.0.1' - everything else checked out ok
<mithro> miek: Looking at it now
shapr` is now known as shapr
shapr has quit [Changing host]
shapr has joined ##openfpga
gregdavill has joined ##openfpga
emeb has quit [Quit: Leaving.]
<mithro> miek: Can you try again now?