tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
Degi_ has joined #symbiflow
Degi has quit [Ping timeout: 256 seconds]
Degi_ is now known as Degi
adjtm has quit [Remote host closed the connection]
adjtm has joined #symbiflow
az0re has joined #symbiflow
craigo has joined #symbiflow
citypw has joined #symbiflow
az0re has quit [Ping timeout: 240 seconds]
Bertl_oO is now known as Bertl_zZ
az0re has joined #symbiflow
kraiskil has joined #symbiflow
epony has quit [Quit: reconf]
rvalles has quit [Read error: Connection reset by peer]
rvalles has joined #symbiflow
OmniMancer has joined #symbiflow
OmniMancer1 has quit [Ping timeout: 256 seconds]
<FFY00> mithro, got a automated arch pkg builder example
<FFY00> it's a bit slow but it works
<tpb> Title: github: add build action · FFY00/symbiflow-arch-pkgs@fd9b1b6 · GitHub (at github.com)
<FFY00> if we choose to use this it might be worth to hosting a self-runner
<FFY00> *to host
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<FFY00> well, it's failing, I think because of missing disk space :/
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
FFY00 has quit [Max SendQ exceeded]
FFY00 has joined #symbiflow
Ultrasauce has quit [Ping timeout: 240 seconds]
Ultrasauce has joined #symbiflow
<_whitenotifier-3> [symbiflow-arch-defs] rakeshm75 opened issue #1431: Branch : Quicklogic : Different ports and port names in top_bit.v file compared to the design file - https://git.io/JfTvX
proteus-guy has quit [Ping timeout: 256 seconds]
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
proteus-guy has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
<_whitenotifier-3> [symbiflow-arch-defs] mkurc-ant opened issue #1432: Add support for IDDR primitives - https://git.io/JfTTn
kraiskil has joined #symbiflow
epony has joined #symbiflow
Bertl_zZ is now known as Bertl
OmniMancer has quit [Quit: Leaving.]
<sf-slack> <mkurc> I've made a fix to VPR that dramatically improves placement results on Artix 200T: https://github.com/verilog-to-routing/vtr-verilog-to-routing/pull/1284
<tpb> Title: Placement bb cost computation fix by mkurc-ant · Pull Request #1284 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)
<mithro> daniellimws: Do you have a temporary fix to get the readthedocs generation working again?
<daniellimws> mithro: It should work if we revert the verilog-diagram extension to before adding compat-int
<_whitenotifier-3> [sphinxcontrib-verilog-diagrams] mithro opened issue #15: Add links to the git repo from readthedocs - https://git.io/JfTOf
<mithro> daniellimws: We can pin to the older version in the requirements.txt file?
<tpb> Title: Transfer this repo to SymbiFlow organization · Issue #50 · duck2/uxsdcxx · GitHub (at github.com)
<daniellimws> mithro: Oh yes we can
<daniellimws> mithro: Shall I open a PR for that as well
citypw has quit [Ping timeout: 240 seconds]
gsmecher has joined #symbiflow
<mithro> @daniellimws Yes please
<mithro> @daniellimws Looks like the memory issue is back :-/
<daniellimws> mithro: Oh boy what's that, I haven't seen that before
kraiskil has quit [Ping timeout: 250 seconds]
<daniellimws> mithro: Can this be merged? https://github.com/SymbiFlow/python-symbiflow-v2x/pull/41
<tpb> Title: Allow docs/Makefile to be portable by daniellimws · Pull Request #41 · SymbiFlow/python-symbiflow-v2x · GitHub (at github.com)
<_whitenotifier-3> [fpga-tool-perf] acomodi opened issue #70: Collect results from CI and upload as artifacts - https://git.io/JfTsq
<daniellimws> mithro: I just remembered that I didn't add the license headers to setup.py in the v2x repo. Do you think we need it there?
<mithro> daniellimws: Yes
<daniellimws> mithro: What about empty __init__.py files
<mithro> daniellimws: They can stay empty
elms has quit [Ping timeout: 245 seconds]
emilazy has quit [Ping timeout: 245 seconds]
elms has joined #symbiflow
emilazy has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 250 seconds]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 240 seconds]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 265 seconds]
gojimmypi has quit [Quit: Connection closed for inactivity]
Bertl is now known as Bertl_oO
<duck2> @mithro I get an error "You don’t have the permission to create public repositories on SymbiFlow".
craigo has quit [Ping timeout: 258 seconds]
<mithro> duck2: You should have an invite to join the SymbiFlow organization now
<mithro> duck2: Thanks!
<duck2> mithro: you're welcome! https://github.com/SymbiFlow/uxsdcxx
<tpb> Title: GitHub - SymbiFlow/uxsdcxx: generate C++ reader/writer from XSD schema (at github.com)
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
<litghost> mithro: We need to update VTR's github address to point to the new location
<tpb> Title: vtr-verilog-to-routing/CMakeLists.txt at master · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)
<mithro> By "VTR's github address" what you mean for that?
<mithro> litghost: Ahh, it should be automatically redirected at the moment
<litghost> Oh, ok
_whitenotifier-3 has quit [Ping timeout: 260 seconds]
QDX45 has joined #symbiflow
FFY00 has quit [Read error: Connection reset by peer]
FFY00 has joined #symbiflow
OmniMancer has joined #symbiflow
<sf-slack> <haskal> prjxray question: how do the bram segbits work, particularly how do the init strings for a ramb36 correspond to init strings for the 2 component ramb18 elements?
<sf-slack> <haskal> i have a test bitstream for zynq7 that divides a 32 bit block memory space into 1 ramb36 element per bitlane, that i populated with a basic bram mem file that has 512 words of 00000001, so all the set bits go into a single one of the bram elements
<sf-slack> <haskal> i can see in the debug output of updatemem that there is a INIT_00 and INIT_01 for one of the bram elements with all bits set, as expected, however the resulting bitstream appears to have the bits set across only 2 of the frames
<sf-slack> <haskal> i had assumed the ramb18s get concatenated into the ramb36, and if this were the case it should take 4 frames for this data
<mithro> haskal: Did you see the ram patching tool?
<tpb> Title: GitHub - SymbiFlow/prjxray-bram-patch: Tool for updating the contents of BlockRAMs found in Xilinx 7 series bitstreams. (at github.com)
<tpb> Title: symbiflow-arch-defs/cells_map.v at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> haskal: BRAM bits in prjxray document the bits as they map to BRAM18's, not to BRAM36
<litghost> haskal: BRAM36 can then be mapped on BRAM18, per the link above
<sf-slack> <haskal> i think this will be helpful, thanks everyone