tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
proteusguy has quit [Ping timeout: 256 seconds]
proteusguy has joined #symbiflow
Degi has quit [Ping timeout: 240 seconds]
Degi has joined #symbiflow
rvalles_ has joined #symbiflow
rvalles has quit [Ping timeout: 240 seconds]
citypw has joined #symbiflow
andrewb1999 has quit [Ping timeout: 265 seconds]
sjkelly1 has quit [Ping timeout: 246 seconds]
enriq has joined #symbiflow
_whitelogger has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala__ has joined #symbiflow
kgugala has quit [Ping timeout: 246 seconds]
kgugala_ has quit [Ping timeout: 264 seconds]
OmniMancer has joined #symbiflow
az0re has quit [Remote host closed the connection]
jordigw has quit [Ping timeout: 260 seconds]
<sf-slack> <timo.callahan> Hi @mholenko, I'm still working on LiteX differences between timvideos and the tensorflow demo. It seems the base CSR address has changed -- I see 0x8200000 and 0xE0000000, respectively. The overlay created by generate-zephyr-dts.py doesn't have any information about these....is the CSR base address determined somehow else? Thanks!
<sf-slack> <kgugala> @timo.callahan litex_term requires software running on the programmed CPU that will receive the UART transferred data and write it to RAM. If you want to use it with your Zephyr app, you need to include this functionality in the app (probably you can "borrow" some code from LiteX' Bios)
kraiskil has joined #symbiflow
xtro has quit [Ping timeout: 240 seconds]
<sf-slack> <mholenko> @timo.callahan: what addresses do you see in the generated overlay DT (it's located in buildenv's build/platform/software/zephyr directory)? The `reg` property should already contain a value that is based on the CSR base address (i.e., it should be 0x8200000/0xE0000000 plus some offset)
jordigw has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
craigo has quit [Ping timeout: 240 seconds]
enriq has joined #symbiflow
kraiskil has quit [Ping timeout: 240 seconds]
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
kraiskil has joined #symbiflow
enriq has joined #symbiflow
proteus-guy has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
_whitelogger has joined #symbiflow
sjkelly1 has joined #symbiflow
kraiskil has quit [Ping timeout: 240 seconds]
enriq has joined #symbiflow
citypw has quit [Ping timeout: 240 seconds]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
kraiskil has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
andrewb1999 has joined #symbiflow
kraiskil has quit [Ping timeout: 240 seconds]
kraiskil has joined #symbiflow
<Lofty> Ping kgugala__
<sf-slack> <kgugala> ping about what?
<Lofty> QuickLogic
<Lofty> Or specifically synth_quicklogic
<sf-slack> <kgugala> but what was the question?
<Lofty> The flop mapping can be simplified a lot by using the `dfflegalize` pass that was recently introduced
<Lofty> Additionally, you should not map latches unless the fabric is glitchless, because Yosys assumes if latches are mapped they are glitchless
<sf-slack> <kgugala> I'm working on this right now, just rebased the PR
<Lofty> Yeah, I saw, just wanted to make sure you were aware
<sf-slack> <kgugala> need to introduce the dff/latch changes and test it
proteus-guy has quit [Ping timeout: 260 seconds]
<Lofty> What do the QuickLogic flops initialise to? It's not mentioned in the EOS S3 TRM, as far as I can tell
<sf-slack> <kgugala> to 0 AFAIK, but have to check this
<Lofty> Then all you need really is to add `dfflegalize -cell $_DFFSRE_PPPP_ 0` to your flow and map one cell
<sf-slack> <kgugala> thanks for the hints, I'll update the code and push the changes
proteus-guy has joined #symbiflow
enriq has joined #symbiflow
<tnt> kgugala: Is the direct LUT -> FF path implemented now ? Also true LUT4 mapping (rather than mapping to 2 LUT3 + FMUX) ?
<sf-slack> <kgugala> @tnt: LUT4 works, LUT -> FF support is here https://github.com/antmicro/symbiflow-arch-defs/tree/lut-ff-direct, will open a PR once this https://github.com/QuickLogic-Corp/python-symbiflow-v2x/pull/3 is merged
<tpb> Title: Allow specification of multiple pack patterns by mkurc-ant · Pull Request #3 · QuickLogic-Corp/python-symbiflow-v2x · GitHub (at github.com)
<tnt> kgugala: Ah nice tx for the pointers.
kraiskil has quit [Ping timeout: 256 seconds]
<_whitenotifier-b> [sv-tests] hzeller opened issue #972: Sorting tool columns: doesn't take partial passing into account - https://git.io/JJamV
proteus-guy has quit [Ping timeout: 260 seconds]
kraiskil has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
enriq has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
craigo has joined #symbiflow
enriq has joined #symbiflow
<_whitenotifier-b> [fpga-tool-perf] mithro opened issue #198: Define a set of tests which run on all devices - https://git.io/JJas6
<_whitenotifier-b> [FPGA-Tool-Performance-Visualization-Library] TypingKoala opened issue #15: Legacy Icestorm Processor - https://git.io/JJasi
<_whitenotifier-b> [fpga-tool-perf] mithro opened issue #199: Make sure a test which works for a35t works for all a35t compatible toolchains - https://git.io/JJasx
proteus-guy has joined #symbiflow
<andrewb1999> Does anyone know if it's possible to constrain a top level io to multiple io pads in VPR?
acomodi has joined #symbiflow
<sf-slack> <acomodi> I think this is impossible. As far as I know the io blocks are assigned uniquely to one grid location
kraiskil has quit [Read error: Connection reset by peer]
OmniMancer has quit [Quit: Leaving.]
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
kraiskil has joined #symbiflow
<sf-slack> <benglines1> I've been having trouble getting bitread to work with Project U-Ray. Here's what I'm doing to run it: `../prjuray/third_party/prjuray-tools/build/tools/bitread --part_file ../prjuray/database/zynqusp/xczu3eg-sfvc784-1-e/part.yaml -o bitstream.bits -z -y ../prjuray/inverter_example_zu3eg/inverter_example.bit` And this is what I'm getting for the output: `Bitstream size: 5865840 bytes` `Config size: 1466409 words`
<sf-slack> `Part file not found or invalid` To me it looks like the tool built correctly, and the path to the part seems to be correct to me. Does the path that I use to the part file seem correct? Does bitread expect the part.yaml file or something else?
<sf-slack> <tmichalak> @benglines1 Yes, it requires part.yaml. What is missing in the command you pasted is --architecture UltraScalePlus
<sf-slack> <tmichalak> This is because the tool supports Spartan6, Series7, US and US+ and Series7 is default for backward compatibility with prjxray
xtro has joined #symbiflow
<sf-slack> <benglines1> @tmichalak That seemed to work. Thanks!
kraiskil has quit [Ping timeout: 264 seconds]
enriq has joined #symbiflow
proteus-guy has quit [Ping timeout: 260 seconds]
FireFox317 has joined #symbiflow
<sf-slack> <timo.callahan> Thanks @kgugala, so basically the serial boot mechanism, but for data. The code on Arty would print the serialboot keyword to uart, then lxterm responds.
acomodi has quit [Quit: Connection closed for inactivity]
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #symbiflow
xtro has quit [Ping timeout: 256 seconds]
FireFox317 has quit [Remote host closed the connection]
FireFox317 has joined #symbiflow
kraiskil has joined #symbiflow
<_whitenotifier-b> [FPGA-Tool-Performance-Visualization-Library] TypingKoala opened issue #16: HydraFetcher unable to fetch meta.json of older builds - https://git.io/JJa2e
kraiskil has quit [Ping timeout: 256 seconds]
maartenBE has quit [Ping timeout: 240 seconds]
maartenBE has joined #symbiflow