tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
gsmecher has quit [Ping timeout: 260 seconds]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 256 seconds]
epony has quit [Ping timeout: 258 seconds]
Degi has quit [Ping timeout: 258 seconds]
Degi has joined #symbiflow
epony has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
craigo has quit [Ping timeout: 265 seconds]
andrewb1999 has quit [Ping timeout: 246 seconds]
OmniMancer has joined #symbiflow
whitequark has joined #symbiflow
<whitequark> so i finally gave up on the conda crap and tried to build the quicklogic toolchain from source
<whitequark> naturally that doesn't work either
<whitequark> ah hm, it's picking up the wrong yosys
<HackerFoo> whitequark: Any interest in using Nix instead of Conda?
<whitequark> HackerFoo: i tried nix a while ago, i like it in theory (unlike conda) but i didn't have such a great experience either
<whitequark> what i would actually appreciate is the quicklogic toolchain as a ... tarball
<whitequark> you know the same thing vivado is doing
<whitequark> that would be actually great
<whitequark> oh yeah the source code instrctions for vpr are just completely broken
<whitequark> $ git clone https://github.com/SymbiFlow/vtr-verilog-to-routing -b blackbox_timing
<tpb> Title: GitHub - SymbiFlow/vtr-verilog-to-routing: SymbiFlow WIP changes for Verilog to Routing -- Open Source CAD Flow for FPGA Research (at github.com)
<whitequark> Cloning into 'vtr-verilog-to-routing'...
<whitequark> fatal: Remote branch blackbox_timing not found in upstream origin
<HackerFoo> I hate tarballs that dump garbage all over the place. "Uninstall? Ha, why would you want to do that?"
<whitequark> HackerFoo: just a self contained one you can unpack into /opt
<whitequark> the current experience is /significantly worse than proprietary tools/
<HackerFoo> If you're interested, you can try: https://github.com/HackerFoo/nix-symbiflow
<tpb> Title: GitHub - HackerFoo/nix-symbiflow: Nix packages for SymbiFlow projects and dependencies (at github.com)
<whitequark> no i don't want to learn nix
<whitequark> i just want a fucking toolchain that works
<whitequark> is it that hard?
<HackerFoo> I started on a quicklogic branch, but didn't have time to finish it.
<HackerFoo> There's a lot of moving parts right now.
<HackerFoo> Ideally, there would be compatible packages of Yosys, VTR, etc. in whatever distro you prefer, like GCC, binutils, etc.
<HackerFoo> But there are some patches required to get things working for now.
<whitequark> I don't really care about patches
<whitequark> I'm saying that both the binary and the source distributions are completely unusable right now, the former for me, the latter for everyone
<HackerFoo> I struggled with it as well. That's why I wrote the Nix stuff.
<HackerFoo> But things will get better as things get upstreamed.
<whitequark> why is it necessary to wait for upstreaming to have working instructions to build from source?
<HackerFoo> It's not. It just makes the instructions shorter.
<HackerFoo> Or, change less often.
<HackerFoo> Because it doesn't help if the instructions are always out of date.
<whitequark> why not... have CI check installation instructions?
asy has quit [Ping timeout: 256 seconds]
guan has quit [Ping timeout: 256 seconds]
tux3 has quit [Ping timeout: 256 seconds]
<HackerFoo> For which distro? Which version?
whitequark has left #symbiflow [#symbiflow]
<whitequark> you know what would also solve my problem? not bothering with this toolchain at all
<HackerFoo> This is exactly what Nix solves.
asy_ has joined #symbiflow
tux3_ has joined #symbiflow
<HackerFoo> Being an early adopter is never easy.
guan has joined #symbiflow
_whitelogger has joined #symbiflow
TMM has quit [Remote host closed the connection]
TMM has joined #symbiflow
citypw has quit [Remote host closed the connection]
_whitelogger has joined #symbiflow
enriq has joined #symbiflow
craigo has joined #symbiflow
ayazar has joined #symbiflow
maartenBE has quit [Ping timeout: 256 seconds]
maartenBE has joined #symbiflow
ayazar has quit [Quit: ayazar]
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
<sf-slack> <pgielda> @whitequark, sorry for that we are changing multiple things at the same time so there is a lot of moving parts. In any case somebody will soon paste a tutorial on how to compile stuff from scratch using a clean debian.
<sf-slack> <pgielda> Ranting on conda does not help btw. Its a packaging choice made long time ago. Its maybe annoying but works and while personally I am *personally* not a fan (I use gentoo I like ebuilds and sources only... i also dislike pip) it does not mean it does not work. In fact contrary -- there is a CI in symbiflow-examples repo that does a clean conda installation on travis and test the tutorial.
<sf-slack> <pgielda> Obviously the tutorial needs updating because its outdated... but again the whole project is a lot of people doing a lot of things so not always everything is easy and works. In any case if there is a particular issue lets disccuss it on github in the relevant issue
<sf-slack> <pgielda> Oh and in different timezones so I usually miss the most interesting discussions and rants ;)
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 272 seconds]
enriq has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
enriq has joined #symbiflow
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
kgugala_ has joined #symbiflow
enriq has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
kgugala has quit [Ping timeout: 260 seconds]
kgugala has joined #symbiflow
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 240 seconds]
hzeller has joined #symbiflow
<HackerFoo> Conda works on a clean install (as tested in CI) but usually fails for updates, which is annoying given that rebuilding arch-defs can take several hours.
<HackerFoo> Then, if you change anything locally (e.g. working on a local copy of VTR) you have to manually keep things synchronized.
QDX45 has joined #symbiflow
kraiskil has joined #symbiflow
<HackerFoo> A tarball is fine for users, but this is open source, so we need more developers if things are going to get better.
<hzeller> How many dependencies are there that can't be simply installed with a debian package ?
<HackerFoo> hzeller: It could all be bundled into one giant package, requiring a ~20GB install every month or so to get the latest improvements.
<djph> Not 100% sure if this is ontopic for the channel -- found some links as I was hunting for linux fpga programmers ... there any "gotchas" I might've missed with the project in general? (everything seems to have compiled, I think, but the devboard won't arrive til monday at the earliest)
OmniMancer has quit [Quit: Leaving.]
lopsided98 has quit [Quit: Disconnected]
lopsided98 has joined #symbiflow
andrewb1999 has joined #symbiflow
xtro has joined #symbiflow
xtro has quit [Quit: leaving]
kraiskil has quit [Ping timeout: 258 seconds]
<mithro> @djph Are you talking about for using the QuickFeather board or?
<djph> mithro: no, the ice40 one
<mithro> djph: I know of about 5-10 iCE40 based boards, I'm guessing either the Fomu or the icebreaker?
<djph> uhh,
<djph> icestick
<mithro> djph: What do you want to use it for?
<djph> no idea ... first _actually_ reasonable priced board i saw. bit of a rabbit hole :) kinda more was looking at smaller / older things, but "on linux" is apparently not high on many lists.
<hzeller> I still have an Icestick in my gadget drawer somewhere. I think my first experiments with Yosys+Arachne a few years back were with this one.
<mithro> djph: I have a particular bias towards the Fomu because I wrote a lot of the workshop @ workshop.fomu.im
<hzeller> And that workshop is amazing - you install stuff on your fomu, and then connect via a usb serial to it and have a Python repl running on the processor implemented on the FPGA ... instant amaze and gratification :)
_whitelogger has joined #symbiflow
<djph> neat