tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
Lalo|Sleepy has joined #symbiflow
<_whitenotifier-5> [symbiflow-examples] rodrigomelo9 opened issue #126: Question about constraints - https://git.io/Jt5GS
citypw has joined #symbiflow
<_whitenotifier-5> [symbiflow-arch-defs] the-centry opened issue #2047: Had anyone tried to make it supporting two kinds of iopads ? - https://git.io/Jt5WQ
<daniellimws> mithro: the new theme repo is not within https://github.com/orgs/SymbiFlow/teams/doc-team/repositories
<daniellimws> it now only has the old one
Degi_ has joined #symbiflow
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
Degi has quit [Ping timeout: 260 seconds]
Degi_ is now known as Degi
hosana has quit []
Eduardo has joined #symbiflow
Eduardo is now known as Guest95959
Lalo|Sleepy has quit [Ping timeout: 260 seconds]
Guest95959 has quit [Ping timeout: 246 seconds]
rvalles has joined #symbiflow
rvalles_ has quit [Ping timeout: 260 seconds]
xtro has quit [Quit: ZNC 1.7.2+deb3 - https://znc.in]
xtro has joined #symbiflow
xtro is now known as Guest1877
Guest1877 is now known as xtro
Guest95959 has joined #symbiflow
<mithro> @daniellimws: should be fixed now
<daniellimws> cool thanks
kraiskil has joined #symbiflow
Guest95959 has quit [Ping timeout: 260 seconds]
kraiskil has quit [Ping timeout: 240 seconds]
smkz has quit [Quit: smkz]
smkz has joined #symbiflow
cr1901_modern has quit [Ping timeout: 256 seconds]
cr1901_modern has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 264 seconds]
kgugala_ has joined #symbiflow
kraiskil has joined #symbiflow
kgugala has quit [Ping timeout: 240 seconds]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 246 seconds]
kraiskil has quit [Ping timeout: 246 seconds]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 256 seconds]
kraiskil has joined #symbiflow
<_whitenotifier-5> [fpga-interchange-schema] gatecat opened issue #11: Bus indices should (arguably) be signed not unsigned - https://git.io/Jt5Fn
<_whitenotifier-5> [fpga-interchange-schema] gatecat opened issue #12: Property type considerations - https://git.io/Jt5Fu
citypw has quit [Ping timeout: 268 seconds]
proteusguy has quit [Quit: Leaving]
proteusguy has joined #symbiflow
gromero_ has quit [Ping timeout: 272 seconds]
<sf-slack4> <arvindsrinivasan> Hello, so I’m trying to follow this documentation to install symbiflow https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html except that I want to specifically use my own locally built copy of Yosys. First, I removed the conda yosys package from the enviornment.yml file here (https://github.com/SymbiFlow/symbiflow-examples/blob/master/xc7/environment.yml). Next used the yosys repo
<sf-slack4> (https://github.com/YosysHQ/yosys) install methods (`make` and `sudo make install`) after finding the same commit as the conda package (Yosys 0.9+3710 (git sha1 2116c585). However, I seem to be getting an abc error (ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.) when I attempt to then build the counter test. Is there some flags I should be setting when I attempt to build yosys and the
<sf-slack4> corresponding plugins before using them with the rest of the toolchain?
<tpb> Title: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)
gromero has joined #symbiflow
rj_ has quit [Ping timeout: 268 seconds]
ByteLawd has quit [Remote host closed the connection]
ByteLawd has joined #symbiflow
kraiskil has quit [Ping timeout: 264 seconds]
<litghost> We know that there are some issues with latest yosys on symbiflow (example issue: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/2039 )
<litghost> You might have run into one of those issues
<sf-slack4> <kgugala> @arvindsrinivasan you should actually use yosys from https://github.com/symbiflow/yosys (Symbiflow's fork) as it has features required for symbiflow (some are not merged upstream yet)
<sf-slack4> <pgielda> @kgugala is right, conda package is indeed built from 2116c585 but from https://github.com/symbiflow/yosys
<sf-slack4> <pgielda> This commit happens to exist in both repos
rj_ has joined #symbiflow
rj_ has left #symbiflow [#symbiflow]
rj_ has joined #symbiflow
rj_ has quit [Remote host closed the connection]
rj_ has joined #symbiflow
<mithro> http://share.find.coop/doc/index.html <-- This might be interesting, it's tools for generating diffs for tables
<tpb> Title: The COOPY Toolbox: The COOPY Toolbox: COOPY Guide (at share.find.coop)
rj_ is now known as rj
proteusguy has quit [Remote host closed the connection]
<mithro> @acomodi: I'm a bit confused by https://github.com/SymbiFlow/symbiflow-examples/issues/126
<sf-slack4> <arvindsrinivasan> @kgugala @pgielda thank you, for some reason I looked into the issue by trying to follow the conda package
<sf-slack4> <arvindsrinivasan> which is called https://anaconda.org/litex-hub/yosys
<sf-slack4> <arvindsrinivasan> And I thus used the yosys default repo and not the symbiflow yosys, since I saw a conda package called symbiflow-yosys
kraiskil has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Actually, is it intentional that this warning for https://github.com/SymbiFlow/yosys/commit/2116c585810cddb73777b46ea9aad0d6d511d82b “This commit does not belong to any branch on this repository, and may belong to a fork outside of the repository.” shows up
<sf-slack4> <kgugala> there might have been a rebase in the mean time
<sf-slack4> <kgugala> and since this particular commit is a merge commit it may have been removed
<sf-slack4> <arvindsrinivasan> I’m still encountering the error
<sf-slack4> <arvindsrinivasan> Despite having switched to using that exact commit from the symbiflow repo
<sf-slack4> <arvindsrinivasan> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. Is there something missing from either repo to have this example work?
<sf-slack4> <kgugala> the examples are built in the CI in examples repo, so everything must be there
<sf-slack4> <arvindsrinivasan> Is there something specific to how the conda packages are built theN
<sf-slack4> <arvindsrinivasan> I feel like locally building yosys should still produce something that works
<sf-slack4> <kgugala> packages are built here https://github.com/hdl/litex-conda-eda
<litghost> Yosys will make changes that change or restrict the input it accepts as valid
<litghost> There was a parser change two weeks that resulted in input that was accepted and worked before no longer work
<litghost> In general riding yosys:master is a recipe for trouble, and we don't do it
<sf-slack4> <arvindsrinivasan> I’m using a specific commit that I thought conda is built with
<litghost> Have you double checked (e.g. look at the yosys header for commit)
<litghost> I don't believe we do much during the conda build that is special, besides commit pinning
<sf-slack4> <arvindsrinivasan> yosys=0.9_5007_g2116c585=20201202_112618
<sf-slack4> <arvindsrinivasan> From there I found the 2116c585 commit on both the yosysHQ repo and the symbiflow repo and so I used the yosysHQ one first then the symbiflow repo
kraiskil_ has joined #symbiflow
<litghost> Are you condident that you are actually running the yosys compiled from that version?
<litghost> confident*
<sf-slack4> <arvindsrinivasan> Directly downloading from here https://github.com/SymbiFlow/yosys/tree/2116c585810cddb73777b46ea9aad0d6d511d82b and building
<litghost> That's not what I asked
<sf-slack4> <arvindsrinivasan> Yes I’m saying it builds a binary with the following version Yosys 0.9+3710 (git sha1 UNKNOWN, gcc 10.2.0-13ubuntu1 -fPIC -Os
<sf-slack4> <arvindsrinivasan> Which I confirmed is the binary that is installed systemwide on my path
<litghost> Why is git sha1 UNKNOWN? It should reflect the hash of the git repo it was built from, for your own sanity
<sf-slack4> <arvindsrinivasan> Not sure of that, but the only yosys binary in the folder has this `./yosys --version`
kraiskil has quit [Ping timeout: 256 seconds]
<sf-slack4> <kgugala> @arvindsrinivasan what do you mean by "Directly downloading from here https://github.com/SymbiFlow/yosys/tree/2116c585810cddb73777b46ea9aad0d6d511d82b and building"
<sf-slack4> <kgugala> did you clone the repo?
<sf-slack4> <arvindsrinivasan> If I cloned, I couldn’t find the specific commit in the git log for any branch
<litghost> You can just fetch the hash, e.g. "git fetch origin 2116c585"
<sf-slack4> <arvindsrinivasan> fatal: couldn’t find remote ref 2116c585
<litghost> Use the full hash
<sf-slack4> <arvindsrinivasan> Alright
<sf-slack4> <arvindsrinivasan> I did that and I still build a binary (Yosys 0.9+3710 (git sha1 2116c585, clang 11.0.0-2 -fPIC -Os)) that causes an issue ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.
<sf-slack4> <kgugala> which example do you try to build?
<sf-slack4> <arvindsrinivasan> counter_test
<sf-slack4> <arvindsrinivasan> Specifically for the basys3
<sf-slack4> <arvindsrinivasan> I apologize if this is stupid, but like, given the conda packages are just built versions of the repo with nothing special, I feel like this should be a supported workflow since the versions of yosys and ABC is the exact same
ByteLawd has quit [Remote host closed the connection]
ByteLawd has joined #symbiflow
kraiskil_ has quit [Ping timeout: 264 seconds]
rj has quit [Ping timeout: 268 seconds]
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
umarcor has quit [Read error: Connection reset by peer]
rj has joined #symbiflow
<Lofty> Kinda wish I'd got pinged for the ABC9 assert ^^;
<Lofty> arvindsrinivasen: are you still around?
<Lofty> arvindsrinivasan even
<sf-slack4> <arvindsrinivasan> Hi
<sf-slack4> <arvindsrinivasan> Whats up Lofty
<Lofty> I'm...de facto ABC9 maintainer at the moment :P
<Lofty> So asserts in ABC9 are my domain
<Lofty> I'll open up the code in a bit, but here's my assumption: a cell with parameters is making its way to ABC9, but ABC9 expects monomorphised cells and can't really deal with it here
<sf-slack4> <arvindsrinivasan> Cool, that sounds good. I guess the issue I’m having is trying to build yosys locally (not even master) but the specific commit conda package uses for the symbiflow-examples, but the issue I”m having is that the example (which works with the conda package and its install of ABC9) doesn’t work with my own built copy
<Lofty> litghost: did you file a bug for that parser issue?
<litghost> The parser issue was a long standing whole in the parser that was fixed
<litghost> Yosys accepted or interped something that was not allowed, but accepted it
<litghost> This is the localparam scoping fix
<Lofty> And 'your own built copy' gives the ABC9 assert?
<litghost> Unrelated
<litghost> I'm not sure what arvind's running into, I've not seen it locally
<Lofty> I recently-ish made a change which exposes bugs in ABC9
<sf-slack4> <arvindsrinivasan> Yes
<Lofty> But *this particular* bug is new to me
<sf-slack4> <arvindsrinivasan> So while I agree this potentially could be a my system issue, I can explain the exact process I followed if anyone wants to try to replicate it on their system
<Lofty> Please
<sf-slack4> <arvindsrinivasan> So I started with this https://symbiflow-examples.readthedocs.io/en/latest/getting-symbiflow.html instructions, and using the fresh install cloned the repo and installed conda
<tpb> Title: Getting SymbiFlow SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)
<Lofty> You mentioned a counter_test benchmark, but I didn't go far enough in the backlog to find it; could somebody point me to it?
<sf-slack4> <arvindsrinivasan> I edited this particular environment.yml file https://github.com/SymbiFlow/symbiflow-examples/blob/master/xc7/environment.yml once I cloned but before I created teh conda env to remove the litex-hub::yosys=0.9_5007_g2116c585=20201202_112618 line
<sf-slack4> <arvindsrinivasan> I then cloned and installed https://github.com/SymbiFlow/yosys, particularly, commit 2116c585810cddb73777b46ea9aad0d6d511d82b by checking it out and then running `make clean` then `make config-gcc` then `make` and finally `sudo make install`
<sf-slack4> <arvindsrinivasan> Finally I cloned and installed https://github.com/SymbiFlow/yosys-symbiflow-plugins, particularly, commit 40efa517423c54119440733f34dbd4e0eb14f983 by checking it out and then running `make clean` `make plugins` and finally `sudo make install`
<sf-slack4> <arvindsrinivasan> At this point, I tried to follow these steps to build the counter_test example https://symbiflow-examples.readthedocs.io/en/latest/building-examples.html and instead I got the error: ``````
<tpb> Title: Building example designs SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)
<Lofty> <arvindsrinivasan> At this point, I tried to follow these steps to build the counter_test example https://symbiflow-examples.readthedocs.io/en/latest/building-examples.html and instead I got the error: ``````
<tpb> Title: Building example designs SymbiFlow examples documentation (at symbiflow-examples.readthedocs.io)
<Lofty> I don't think that made it across the bridge very well
<Lofty> (I was waiting for the actual text, but it seems it got eaten by the bridge)
<sf-slack4> <arvindsrinivasan> Oh okay
<sf-slack4> <arvindsrinivasan> ```make: Entering directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test' cd build/basys3 && symbiflow_synth -t top -v /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v -d artix7 -p xc7a35tcpg236-1 -x /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/basys3.xdc 2>&1 > /dev/null ERROR: Assert `cell->parameters.empty()'
<sf-slack4> failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'```
<sf-slack4> <arvindsrinivasan> Is that clear?
<Lofty> That made it across, though...you mentioned it was ABC9 and there's no mention of it in the log?
<sf-slack4> <arvindsrinivasan> Sorry if I was mistaken, but is > ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. Not related to ABC9?
<Lofty> Oh, I misread it because it wrapped across messages
<Lofty> My apologies.
peddie has left #symbiflow ["User left"]
<Lofty> Ugh, I'm struggling to find the source of symbiflow_synth
<sf-slack4> <arvindsrinivasan> I believe that is the tar files that are unzipped in the second stage of installation
<Lofty> It's in ibex-yosys-build, it seems
<Lofty> Yosys 0.9+3833 (git sha1 19ffd3d8c, ccache clang 11.0.1 -fPIC -Os)
<Lofty> Builds okay for me
<Lofty> ...Would you mind trying with latest Yosys master
<Lofty> Suffice it to say that I disagree that 'riding yosys:master is a recipe for trouble'.
<sf-slack4> <arvindsrinivasan> Can you clarify if you mean yosysHQ
<sf-slack4> <arvindsrinivasan> or symbiflow-yosys
<Lofty> Yes
<Lofty> YosysHQ
<sf-slack4> <arvindsrinivasan> Okay, starting clone and build now