tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
<_whitenotifier> [prjxray] cederom opened issue #1579: xc7s15 / Spartan Edge Accelerator Board - https://git.io/JtgYZ
<sf-slack> <godtec> Hello Hello!
<sf-slack> <godtec> M Kennedy here, Looking for info on certain boards.. images attached.
<sf-slack> <godtec> They were bought in Online Auction. Basically NO documentation, the first image show 8 pads in a group, thinking that this is the jtag port. will have to see and probe. The only high speed connection that we can see, is the ribbon cable that has some high speed lines to the FPGA. We have a several boards, looks like it's meant for an Automotive applications. IF interested in getting a board, please message me. will
<sf-slack> ship. Thanks! Mike K
_whitelogger has joined #symbiflow
_whitelogger has joined #symbiflow
rj has quit [Ping timeout: 258 seconds]
rj has joined #symbiflow
citypw has joined #symbiflow
rj has quit [Ping timeout: 272 seconds]
Degi_ has joined #symbiflow
Degi has quit [Ping timeout: 258 seconds]
Degi_ is now known as Degi
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 264 seconds]
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
citypw has quit [Ping timeout: 268 seconds]
kgugala has quit [Ping timeout: 258 seconds]
kgugala has joined #symbiflow
kgugala_ has joined #symbiflow
<_whitenotifier> [fasm] mithro opened issue #47: Antlr build fails on Windows with error about MSBUILD not supporting -j - https://git.io/Jtg8U
kgugala has quit [Ping timeout: 264 seconds]
infinite_recursi has joined #symbiflow
infinite_recursi has quit [Client Quit]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 240 seconds]
ASHR has quit [Ping timeout: 276 seconds]
titanbiscuit has quit [Ping timeout: 246 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [K-Lined]
kgugala_ has joined #symbiflow
kgugala has quit [Read error: No route to host]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 264 seconds]
abeljj[m] has quit [Quit: Idle for 30+ days]
citypw has joined #symbiflow
infinite_recursi has joined #symbiflow
infinite_recursi has quit [Client Quit]
flying_turtle has quit [Read error: Connection reset by peer]
flying_turtle has joined #symbiflow
rj has joined #symbiflow
SmutLord^ has quit [Remote host closed the connection]
SmutLord^ has joined #symbiflow
ASHR has joined #symbiflow
citypw has quit [Ping timeout: 268 seconds]
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 276 seconds]
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
<sf-slack> <arvindsrinivasan> This might be a very dumb request and I apologize if so, but would it be possible for the `swig` package to be put as a dependency since its needed to build rapidyaml. If I understand correctly, it should be put in this file https://github.com/SymbiFlow/symbiflow-arch-defs/blob/master/environment.yml)?
<litghost> If you submit a PR that does that, we would happily accept it
<litghost> We are also working on getting packages into PyPi, which will lower the pain
<sf-slack> <arvindsrinivasan> Can do!
<sf-slack> <arvindsrinivasan> Wanted to be sure there wasn’t something I was missing first
<litghost> The correct solution is to get it into PyPi
<litghost> But I'm fine with reasonable workarounds
extorr has joined #symbiflow
ASHR has quit [Remote host closed the connection]
ASHR has joined #symbiflow
SmutLord^ has quit [Read error: Connection reset by peer]
<sf-slack> <arvindsrinivasan> PR made @litghost
<sf-slack> <arvindsrinivasan> How long should it roughly take to make a bitstream for the counter example for the Basys3?
<litghost> CI takes circa 1 minute
rj has quit [Quit: WeeChat 3.0]
rj has joined #symbiflow
flying_turtle has quit [Quit: The Lounge - https://thelounge.chat]
flying_turtle has joined #symbiflow
rj has quit [Quit: rj]
ASHR has quit [Quit: Leaving]
rj has joined #symbiflow
rj has quit [Quit: rj]
rj has joined #symbiflow
kraiskil has joined #symbiflow
rj has quit [Client Quit]
kraiskil has quit [Ping timeout: 276 seconds]
kraiskil has joined #symbiflow
rj has joined #symbiflow
rj has quit [Quit: rj]
rj has joined #symbiflow
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
craigo has joined #symbiflow
kraiskil has quit [Ping timeout: 246 seconds]
rj has quit [Quit: rj]