tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
<sf-slack4> <arvindsrinivasan> So how does the conda package version avoid this issue Lofy
<sf-slack4> <arvindsrinivasan> Cause I’m curious now if I can diff the ilang files for using the specific versions that the examples use by default and see the source of teh error
_whitelogger has joined #symbiflow
kgugala has quit [Ping timeout: 276 seconds]
citypw has joined #symbiflow
kgugala has joined #symbiflow
Degi_ has joined #symbiflow
Degi has quit [Ping timeout: 272 seconds]
Degi_ is now known as Degi
_whitelogger has joined #symbiflow
ByteLawd has quit [Remote host closed the connection]
ByteLawd has joined #symbiflow
craigo has quit [Ping timeout: 276 seconds]
<_whitenotifier-5> [symbiflow-arch-defs] the-centry opened issue #2056: Packing problem - https://git.io/JtblZ
proteusguy has joined #symbiflow
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
citypw has quit [Ping timeout: 268 seconds]
ZipCPU_ has joined #symbiflow
ZipCPU has quit [Ping timeout: 272 seconds]
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
ZipCPU_ is now known as ZipCPU
epony has quit [Ping timeout: 240 seconds]
futarisIRCcloud has joined #symbiflow
epony has joined #symbiflow
craigo has joined #symbiflow
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
futarisIRCcloud has joined #symbiflow
kraiskil has joined #symbiflow
mkru has joined #symbiflow
kraiskil has quit [Ping timeout: 264 seconds]
<sf-slack4> <arvindsrinivasan> > ERROR: Module `FDRE' is used with parameters but is not parametric! @lofty, where did you actually see this error btw?
<sf-slack4> <arvindsrinivasan> Its not in the ilang file is it?
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
mkru has quit [Quit: Leaving]
kraiskil has joined #symbiflow
<lambda> I missed that discussion yesterday, but it seems to be about https://github.com/SymbiFlow/symbiflow-examples/issues/120, which I reported a while ago
<litghost> Ya, it seems to be the same issue
<_whitenotifier-5> [symbiflow-arch-defs] andrewb1999 opened issue #2058: Trade-off pnr time and performance - https://git.io/JtNIg
maartenBE has quit [Ping timeout: 240 seconds]
maartenBE has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Yea its the same issue
<sf-slack4> <timo.callahan> Symbiflow-LiteX questions: I got a SymbiFlow build working for a LiteX-based project I'm working on, using simply --toolchain=symbiflow. Arty A735T board. I first tried at 80MHz since I saw that on a Zephyr webpage; the bitstream kind of worked (I got the lightchaser and LiteX prompt) but there were memory errors reported (address and data), and the firmware hung at `Liftoff!`. I then tried building at
<sf-slack4> 100MHz, and it all worked perfectly! I remember a long time ago (months!) building LiteX at 50MHz, which was too slow for the memory, but then I thought it worked at 60MHz. So are the errors I'm seeing at 80MHz unexpected?
kraiskil has quit [Ping timeout: 246 seconds]
andrewb1999 has joined #symbiflow
<sf-slack4> <timo.callahan> This is the webpage that recommended an 80MHz clk: https://docs.zephyrproject.org/latest/boards/riscv/litex_vexriscv/doc/index.html : `cd litex/litex/boards/targets && ./arty.py --toolchain symbiflow --cpu-type vexriscv --sys-clk-freq 80e6 --build`, which again, didn't work for me due to memory errors reported from LiteX BIOS.
<tpb> Title: LiteX VexRiscv Zephyr Project Documentation (at docs.zephyrproject.org)
<litghost> What's the timing report say at 80 MHz and 100 MHz?
<litghost> If the timing report shows slack violations at both speeds, the fact that it appears to work at 100 MHz might just be luck
<litghost> It is also possible that the solution at 100 MHz has less of a slack violation than the design at 80 MHz, which means that it has a high change of working
<litghost> Another thing to try is multiple seeds into VPR
<litghost> It is possible that 9/10 designs close timing at 80 MHz, but you got unlucky, and 5/10 designs close timing at 100 MHz, and you happened to get luck reversed
<sf-slack4> <timo.callahan> Hmm yeah, there are some pretty large negative slacks reported at 100MHz.
<litghost> Then I expect that it isn't really working at 100 MHz, you are just getting luck
<sf-slack4> <timo.callahan> Yep
<litghost> One thing to double check is run the design through the Vivado timing analysis as a sanity check and see if the VPR slack analysis was wrong
<litghost> We've had some bugs in the timing model that resulted in invalid timing analysis in both directions
<litghost> But it is believed that the remaining errors are small
<sf-slack4> <timo.callahan> I see some paths involving the PLL that have data required time of 0.479, not the usual 10.0+delta. xilinxasyncresetsyncronizer? Is that false path?
<litghost> Possible? I don't have enough information from that statement, but it is possible
<andrewb1999> timo.callahan: I remember someone mentioning there were issues with the liteDRAM core itself. In the past I have tried the liteDRAM core on Vivado and it only worked properly at 100 MHz.
<litghost> andrewb1999: We've tested it successfully at 60 and 80 MHz
<litghost> I believe
kraiskil has joined #symbiflow
<andrewb1999> litghost: Ah ok maybe it is fixed since when I was testing it.
<tcal> litghost: andrewb1999: thanks for the info! If I figure out any more I'll post it.
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
<sf-slack4> <pgielda> @lambda you are using https://github.com/YosysHQ/yosys repo and conda packages were built from https://github.com/symbiflow/yosys repo...
<sf-slack4> <pgielda> those repos are not interchangable
<lambda> pgielda: correct, I believe I also tested it with the vendored version though
<sf-slack4> <pgielda> what is vendored version?
<lambda> the symbiflow fork
<sf-slack4> <pgielda> this is what interests me -- it would be an issue
andrewb1999 has quit [Quit: Konversation terminated!]
<sf-slack4> <pgielda> if it failed against symbiflow/yosys
<sf-slack4> <pgielda> its kinda expected to fail with YosysHQ/yosys
<lambda> alright, I hope I can go back to nextpnr soon to avoid all this, the fpga-interchange frontend seems to be making huge progress :)
<sf-slack4> <arvindsrinivasan> @pgielda I can reproduce that issue with the symbiflow fork as well, it only works with the conda packaged versions
kraiskil has quit [Ping timeout: 276 seconds]
kraiskil has joined #symbiflow
<sf-slack4> <pgielda> @arvindsrinivasan, I've talked to @kgugala and he wrote to me that he plans to try to reproduce this issue and see whats going on. If you have some additional info -- just paste it to this issue that @lambda opened: https://github.com/SymbiFlow/symbiflow-examples/issues/120
<sf-slack4> <pgielda> And lets track progress there.
gromero has quit [Read error: Connection reset by peer]
gromero has joined #symbiflow
gromero_ has joined #symbiflow
gromero has quit [Remote host closed the connection]
gromero_ has quit [Remote host closed the connection]
gromero__ has joined #symbiflow
gromero__ has quit [Read error: Connection reset by peer]
gromero has joined #symbiflow
gromero_ has joined #symbiflow
gromero has quit [Read error: Connection reset by peer]
kraiskil has quit [Ping timeout: 265 seconds]
gromero__ has joined #symbiflow
gromero__ has quit [Remote host closed the connection]
gromero_ has quit [Remote host closed the connection]
gromero__ has joined #symbiflow
gromero has joined #symbiflow