tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Lofty, should I also build the lastest versions of the plugins or just the base yosys
<Lofty> I'd stay stick with the current plugins so we can change as few variables as possible
rj has quit [Ping timeout: 268 seconds]
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Okay, sorry for the delay, I now built with the latest yosys and that version of plugins: `Yosys 0.9+3911 (git sha1 dcd9f0af, gcc 10.2.0-13ubuntu1 -fPIC -Os)` ```make: Entering directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test' cd build/basys3 && symbiflow_synth -t top -v /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v -d artix7 -p
<sf-slack4> xc7a35tcpg236-1 -x /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/basys3.xdc 2>&1 > /dev/null ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'```
<sf-slack4> <arvindsrinivasan> I still get this error though
ByteLawd has quit [Remote host closed the connection]
ByteLawd has joined #symbiflow
<sf-slack4> <arvindsrinivasan> @lofty, where did you get the 0.9+3833 version from?
<Lofty> Arvind: it's from my personal fork of Yosys, but it works well enough
<Lofty> So, I'm running `yosys -p "synth_xilinx -flatten -abc9 -nosrl -noclkbuf -nodsp -iopad -nowidelut" counter.v`, which is taken straight out of symbiflow_synth
<Lofty> And it compiles cleanly
rj has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Hmm
<sf-slack4> <arvindsrinivasan> So did you try to run the symbiflow_synth directly?
<Lofty> I haven't, since there's a lot of stuff it needs that I don't :P
ByteLawd has quit [Remote host closed the connection]
<sf-slack4> <arvindsrinivasan> Hmm okay
ByteLawd has joined #symbiflow
ByteLawd has quit [Remote host closed the connection]
ByteLawd has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Yes okay I can confirm taht behavior
<sf-slack4> <arvindsrinivasan> So this is an issue with the symbiflow_synth script then?
<sf-slack4> <arvindsrinivasan> Given I removed the calls by the script to hide its output, I saw this ```21.5.9. Executing ABC9_OPS pass (helper functions for ABC9). <suppressed ~2 debug messages> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781.```
<sf-slack4> <arvindsrinivasan> Specifically it seems to make these two yosys calls which I’m confused how you got `yosys -p "synth_xilinx -flatten -abc9 -nosrl -noclkbuf -nodsp -iopad -nowidelut" counter.v` from as I’m a bit unfamiliar with the tool ```yosys -p tcl /home/arvindsrinivasan/opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/synth.tcl -l top_synth.log
<sf-slack4> /home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test/counter.v yosys -p read_json top_io.json; tcl /home/arvindsrinivasan/opt/symbiflow/xc7/install/share/symbiflow/scripts/xc7/conv.tcl```
citypw has joined #symbiflow
futarisIRCcloud has joined #symbiflow
umarcor has joined #symbiflow
Degi has quit [Ping timeout: 260 seconds]
Degi has joined #symbiflow
cr1901_modern has quit [Ping timeout: 260 seconds]
rj has quit [Ping timeout: 268 seconds]
citypw has quit [Ping timeout: 268 seconds]
epony has quit [Ping timeout: 240 seconds]
epony has joined #symbiflow
<Lofty> Which calls the command I copy/pasted
<Lofty> The only thing that comes to mind is the "overwrite some models" step and the retarget step
<Evidlo> anyone know of open source ethernet IP cores I could use on ECP5?
<Evidlo> long term I'd be looking for 10GbE, but I'm struggling to find even 1GbE or slower
rvalles has quit [Read error: Connection reset by peer]
rvalles has joined #symbiflow
kraiskil_ has joined #symbiflow
kraiskil_ has quit [Ping timeout: 246 seconds]
kraiskil_ has joined #symbiflow
phire has quit [Ping timeout: 260 seconds]
phire has joined #symbiflow
kraiskil_ has quit [Ping timeout: 240 seconds]
epony has quit [Remote host closed the connection]
kgugala has quit [Quit: -a- Connection Timed Out]
kgugala has joined #symbiflow
epony has joined #symbiflow
citypw has joined #symbiflow
<gatecat> Evidlo: liteeth supports 1GbE on ECP5. I don't know of anyone who's done 10GbE, 10GbE is about at the limit of what the ECP5 can do (particularly in terms of SERDES pin count if you want to actually do smthg useful with it)
Degi_ has joined #symbiflow
ZirconiumX has joined #symbiflow
TMM__ has joined #symbiflow
rvalles_ has joined #symbiflow
ssb_ has joined #symbiflow
rvalles has quit [*.net *.split]
Degi has quit [*.net *.split]
TMM has quit [*.net *.split]
ssb has quit [*.net *.split]
Lofty has quit [*.net *.split]
Degi_ is now known as Degi
ZirconiumX is now known as Lofty
cr1901_modern has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala has quit [Read error: Connection reset by peer]
TMM__ is now known as TMM
craigo has joined #symbiflow
<_whitenotifier-5> [fpga-interchange-schema] gatecat opened issue #14: Inverter cell type - https://git.io/JtF47
epony has quit [Quit: upgrades]
citypw has quit [Ping timeout: 268 seconds]
rj has joined #symbiflow
epony has joined #symbiflow
<sf-slack4> <arvindsrinivasan> Lofty, I guess what do you suggest at this point?
<sf-slack4> <arvindsrinivasan> like I guess I could write my own makefile to support this process and not use the existing scripts
<Lofty> Hmm.
<sf-slack4> <arvindsrinivasan> Though I’m confused by how when you run it without the script it doesn’t give the error that I am able to get here
ssb_ is now known as ssb
Niklas[m] has quit [Quit: Idle for 30+ days]
<sf-slack4> <arvindsrinivasan> Does anyone have recommendations for a project I can copy a good makefile from for this?
<sf-slack4> <pgielda> I do not see honestly how rewriting it as a new Makefile should help. especially if you plan to take it from another place...
<sf-slack4> <pgielda> also contrary to what Lofty says I would not say that "my personal fork of Yosys, but it works well enough" is a great approach if you expect anyone to reproduce the problem
<Lofty> pgielda: which is why I also tested with Yosys master
<sf-slack4> <pgielda> You have to define Yosys master
<Lofty> Yosys 0.9+3911 (git sha1 dcd9f0af2, ccache clang 11.0.1 -fPIC -Os)
<sf-slack4> <pgielda> this is not enough info
<Lofty> Yes it is
<sf-slack4> <pgielda> we are not using this yosys for xc7
<sf-slack4> <pgielda> we are using https://github.com/SymbiFlow/yosys
<sf-slack4> <pgielda> which has changes that YosysHQ does not have
<sf-slack4> <arvindsrinivasan> Okay, so I can confirm the version I tried was the one Lofty recommended
<sf-slack4> <arvindsrinivasan> 0.9+3911 and it had the same issue with ABC9_ops
<sf-slack4> <pgielda> I mean its fine to use anything anyone wants, I just mean that the toolchain is tested against a different repo
<sf-slack4> <arvindsrinivasan> I’ll try building the one for symbiflow yosys now
<sf-slack4> <pgielda> also symbiflow-examples is hooked to a specific commit for those repos
<sf-slack4> <arvindsrinivasan> Yes I tried to build that commit
<sf-slack4> <arvindsrinivasan> except I encountered the error that I’ve been trying to debug for a while now
<sf-slack4> <pgielda> there is a CI in symbiflow-examples
<Lofty> pgielda: I am pretty confident that SymbiFlow did not change ABC9 in any fundamental way
<sf-slack4> <arvindsrinivasan> The issue I’m having is CI uses conda for its packages
<sf-slack4> <pgielda> Sure, but why use something else while hunting a bug?
<Lofty> But it certainly doesn't hurt to check if the version can be reproduced with YosysHQ master
<sf-slack4> <pgielda> I mean if there is a bug with conda
<sf-slack4> <arvindsrinivasan> and I’m trying to not rely on conda but build my own version
<sf-slack4> <pgielda> it would make sense to try to only have one moving piece
<sf-slack4> <pgielda> (I am not saying its impossible that there is some kind of a bug, e.g. something wrong with conda packaging etc, but then it has to be proven somehow)
<sf-slack4> <pgielda> preferably with an issue that proves it by providing a set of instructions
<sf-slack4> <pgielda> that can be run in a container
<sf-slack4> <pgielda> and show the issue
<Lofty> pgielda: to me that moving piece is SymbiFlow's fork of Yosys, and to control the baseline should not be the SymbiFlow fork but the upstream codebase
<Lofty> pgielda: also, arvind did exactly that
<Lofty> (and thank you, arvind, for that)
<sf-slack4> <pgielda> You mean there is an issue?
<sf-slack4> <pgielda> I might have overlooked it
<Lofty> I mean there are steps pasted in the backlog to reproduce
<sf-slack4> <pgielda> beacuse chat is lossy, it will disappear in few days, covered with new conversations. If there is a bug it would make sense to open the issue on github (unless its already there and I've overlooked it)
<Lofty> It's not the most readable on GitHub, but there it is
<sf-slack4> <pgielda> well that is not really an issue
<sf-slack4> <pgielda> I mean open it somewhere so that someone can hopefully pick it up and work on it
* Lofty shrugs
<Lofty> arvindsrinivasan: are you still around?
<sf-slack4> <arvindsrinivasan> Hi
<sf-slack4> <arvindsrinivasan> Whats up
<sf-slack4> <arvindsrinivasan> @pgielda https://symbiflow.slack.com/archives/CGCL3DBMM/p1614209229034600 I started working here
<Lofty> Could you or somebody point me to where `iobs.v` and `retarget.v` are?
<Lofty> If you do that, I can fire up `bugpoint` to minimise a testcase
<Lofty> And hopefully get it filed and/or fixed upstream.
<Lofty> (or downstream, as I suspect the answer might be)
<Lofty> Ah, thank you
<Lofty> arvindsrinivasan: Do you have a ".premap.v" file produced when you run symbiflow_synth?
<Lofty> https://github.com/SymbiFlow/ibex-yosys-build/blob/master/synth.tcl#L153 <-- did somebody mean to not run ABC9 here?
<sf-slack4> <arvindsrinivasan> Checking now
<sf-slack4> <arvindsrinivasan> Yes I do
<sf-slack4> <arvindsrinivasan> Do you want it?
<Lofty> Yes please
<Lofty> Even knowing it exists helps a lot though: it means the initial command I was running - and assumed failed - actually succeeds.
<Lofty> Actually
<Lofty> arvindsrinivasan: if you can find a ".pre_abc9.ilang", send that instead
<sf-slack4> <arvindsrinivasan> https://pastebin.com/8ePDW3tk sorry for the delay, here is premap.v
<tpb> Title: /* Generated by Yosys 0.9+3911 (git sha1 dcd9f0af, gcc 10.2.0-13ubuntu1 -fPIC -O - Pastebin.com (at pastebin.com)
<Lofty> There at least *should* be a .pre_abc9.ilang file
<sf-slack4> <arvindsrinivasan> Rip the pre_abc9.ilang file is too big for pastebin
<sf-slack4> <arvindsrinivasan> Let me find an alternate way of sending that
<sf-slack4> <arvindsrinivasan> https://we.tl/t-aEazzW8wq3 here is the link to the file lofty
mkru has joined #symbiflow
<Lofty> > ERROR: Module `FDRE' is used with parameters but is not parametric!
<Lofty> And there we have it.
<Lofty> That might not look like the same error as ABC9, but it's the same underlying cause.
<sf-slack4> <arvindsrinivasan> How do I resolve this issue?
<Lofty> Let me think, although I do have a hunch.
<litghost> For clarity, FDRE clearly has a parameter in the primitive library (e.g. "INIT")?
<Lofty> arvindsrinivasan: Can you find your copy of retarget.v and replace __TECHMAP_REPLACE__ [which is wrong] with _TECHMAP_REPLACE_ ?
<sf-slack4> <arvindsrinivasan> Any idea where that would be?
<Lofty> symbiflow-arch-defs
<sf-slack4> <arvindsrinivasan> Okay found it
<sf-slack4> <arvindsrinivasan> To confirm
<sf-slack4> <arvindsrinivasan> I remove the __ before and after it?
<Lofty> litghost: Correct, but all modules must be monomorphised before ABC9, and the assert that fires says that this isn't the case
<Lofty> You remove `_` (single underscore) before and after it so that it reads `_TECHMAP_REPLACE_`
<sf-slack4> <arvindsrinivasan> Cool
<Lofty> `_TECHMAP_REPLACE_` is a magic keyword, `__TECHMAP_REPLACE__` is not
<sf-slack4> <arvindsrinivasan> Well I did that and ran the target make again
<sf-slack4> <arvindsrinivasan> ```21.5.9. Executing ABC9_OPS pass (helper functions for ABC9). <suppressed ~2 debug messages> ERROR: Assert `cell->parameters.empty()' failed in passes/techmap/abc9_ops.cc:781. make: *** [Makefile:45: build/basys3/top.eblif] Error 1 make: Leaving directory '/home/arvindsrinivasan/Documents/GTRI/symbiflow-examples/xc7/counter_test'```
<sf-slack4> <arvindsrinivasan> Same error
<Lofty> Hmm. Okay, well, that's something that SymbiFlow needs to fix anyway
<sf-slack4> <arvindsrinivasan> Alright, anythihng else you want me to try to fix?
<Lofty> Hmm
<Lofty> Okay, so.
<Lofty> The cell in question must be FDRE.
<Lofty> And the failing command would be `abc9_ops -prep_hier -prep_bypass`
<Lofty> Well, actually no
<Lofty> `abc9_ops -prep_dff_submod` instead
<Lofty> Which is odd, because the assert is instead in prep_xaiger()
<sf-slack4> <arvindsrinivasan> Hmm do you want my abc9.ilang again Lofy?
<Lofty> Annoyingly, this is a problem with parametric modules
<Lofty> And these are one of the things that does not round trip through ILANG.
kraiskil has joined #symbiflow
mkru has quit [Quit: Leaving]
craigo has quit [Quit: Leaving]
craigo has joined #symbiflow
<_whitenotifier-5> [python-fpga-interchange] litghost opened issue #27: Need a FPGA interchange to FASM generator - https://git.io/JtFbI
<litghost> mithro: LGTM
ZipCPU_ has joined #symbiflow
xtropro has joined #symbiflow
kmehall has quit [Write error: Broken pipe]
awygle has quit [Write error: Broken pipe]
scientes_ has quit [Quit: ZNC 1.8.2+deb1+b1 - https://znc.in]
bjorkint0sh has joined #symbiflow
nickoe_ has joined #symbiflow
scientes has joined #symbiflow
nickoe has quit [Remote host closed the connection]
ZipCPU has quit [Quit: ZNC 1.7.5+deb4 - https://znc.in]
anuejn has quit [Quit: No Ping reply in 180 seconds.]
craigo has quit [Remote host closed the connection]
Degi has quit [Remote host closed the connection]
daniellimws has quit [Quit: ZNC 1.7.5+deb4 - https://znc.in]
xtro has quit [Quit: ZNC 1.7.2+deb3 - https://znc.in]
lopsided98 has quit [Quit: No Ping reply in 180 seconds.]
diamondman has quit [Ping timeout: 439 seconds]
ZipCPU_ is now known as ZipCPU
awygle has joined #symbiflow
kmehall has joined #symbiflow
lopsided98 has joined #symbiflow
asy__ has joined #symbiflow
diamondman has joined #symbiflow
daniellimws_ has joined #symbiflow
anuejn_ has joined #symbiflow
BonusPlay8 has joined #symbiflow
diamondman has joined #symbiflow
diamondman has quit [Changing host]
bjorkintosh has quit [Remote host closed the connection]
<_whitenotifier-5> [python-fpga-interchange] litghost opened issue #28: Need to create integrated FPGA interchange CI - https://git.io/JtFbA
ric96 has quit [Ping timeout: 246 seconds]
asy_ has quit [Write error: Broken pipe]
BonusPlay has quit [Quit: Ping timeout (120 seconds)]
BonusPlay8 is now known as BonusPlay
Degi has joined #symbiflow
craigo has joined #symbiflow
ric96 has joined #symbiflow
xobs has quit [Ping timeout: 246 seconds]
unrznbl[m] has quit [Ping timeout: 246 seconds]
Evidlo has quit [Ping timeout: 240 seconds]
join_subline has quit [Write error: Broken pipe]
microcolonel has quit [Write error: Broken pipe]
nickoe_ is now known as nickoe
microcolonel has joined #symbiflow
join_subline has joined #symbiflow
lopsided98 has quit [Ping timeout: 264 seconds]
promach3 has quit [Ping timeout: 240 seconds]
kraiskil has quit [Ping timeout: 240 seconds]
<_whitenotifier-5> [nextpnr] litghost opened issue #232: XDC parser enchancements - https://git.io/JtFNC
lopsided98 has joined #symbiflow
<_whitenotifier-5> [vtr-verilog-to-routing] litghost opened issue #566: Need FPGA device database backend from VPR - https://git.io/JtFNg
kraiskil has joined #symbiflow
<_whitenotifier-5> [python-fpga-interchange] litghost opened issue #29: Add XML support to plaintext FPGA interchange - https://git.io/JtFNo
<_whitenotifier-5> [python-fpga-interchange] litghost opened issue #30: Improvement YAML anchor names - https://git.io/JtFNQ
<_whitenotifier-5> [fpga-tool-perf] litghost opened issue #316: Add FPGA interchange nextpnr implementation - https://git.io/JtFAy
unrznbl[m] has joined #symbiflow
<_whitenotifier-5> [fpga-interchange-schema] litghost opened issue #15: Need to define timing model data - https://git.io/JtFxn
Evidlo has joined #symbiflow
xobs has joined #symbiflow
promach3 has joined #symbiflow
_whitelogger has joined #symbiflow
kraiskil has quit [Ping timeout: 246 seconds]
FFY00_ has joined #symbiflow
FFY00 has quit [Ping timeout: 260 seconds]
FFY00 has joined #symbiflow
<_whitenotifier-5> [nextpnr] litghost opened issue #234: Need site routing test framework - https://git.io/JtFhz
FFY00_ has quit [Ping timeout: 258 seconds]
kgugala has joined #symbiflow
kgugala_ has quit [Ping timeout: 265 seconds]
TMM has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
TMM has joined #symbiflow
smkz has quit [Quit: test]
smkz has joined #symbiflow
xtropro is now known as xtro
xtro is now known as Guest89213
Guest89213 is now known as xtro
<mithro> litghost: Pushed to master