tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
curtosis has quit [Quit: My Mac Mini has gone to sleep. ZZZzzz…]
<_whitenotifier-5> [sv-tests] MikePopoloski opened issue #1463: Taiga core is completely busted - https://git.io/JOu7K
cjearls has joined #symbiflow
<cjearls> I have a Nexys A7 with the XC7A100T-1CSG324C being delivered on Tuesday, is there any way set up to test the fully open-source toolchain easily? I successfully built some of the examples in the symbiflow-arch-defs with VPR, but I'm not very experienced with CMake or Makefiles, so I'm not sure what commands I'd need to take out of that to run on my own projects
Degi_ has joined #symbiflow
Degi has quit [Ping timeout: 252 seconds]
Degi_ is now known as Degi
<_whitenotifier-5> [symbiflow-xc-fasm2bels] the-centry opened issue #59: Running bug? - https://git.io/JOuND
gromero has quit [Ping timeout: 260 seconds]
cjearls has quit [Quit: Leaving]
citypw has joined #symbiflow
<mithro> cjearls: You want to use symbiflow-examples for how to use the tools in your projects.
epony has quit [Remote host closed the connection]
curtosis has joined #symbiflow
epony has joined #symbiflow
curtosis has quit [Quit: My Mac Mini has gone to sleep. ZZZzzz…]
gromero has joined #symbiflow
bjorkintosh has joined #symbiflow
citypw has quit [Remote host closed the connection]
m_hackerfoo has quit [Ping timeout: 252 seconds]
HackerFoo has quit [Ping timeout: 248 seconds]
m_hackerfoo has joined #symbiflow
HackerFoo has joined #symbiflow
citypw has joined #symbiflow
daf1 has quit [Ping timeout: 276 seconds]
adjtm_ has quit [Quit: Leaving]
citypw has quit [Remote host closed the connection]
<mithro> gatecat: As we have lost litghost and I'mn juggling a lot of things, you'll need to poke me aggressively if you need review on something with the fpga-interchange-schema stuff otherwise it'll probably get missed. The squeaky wheel gets the grease.
<gatecat> mithro: sure, I've just re-requested review on the default values one, nothing else is critical atm
<mithro> Normally I try and get something done within 24 hours, you have permission to poke me at least once every 24h for anything you are waiting on
<_whitenotifier-5> [actions] mithro opened issue #22: Consider renaming actions/checks to actions/basic-checks and converting actions/checks to composite action? - https://git.io/JOgXW
citypw has joined #symbiflow
<sf-slack> <cjearls> Thanks!
rj has joined #symbiflow
adjtm has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
ASHR has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
<sf-slack> <dkansagara> Hi i am trying to take my design from VPR(fasm) to vivado, i saw python fpga interchange repository for interchange file generation but i am not sure exactly how it will convert fasm to interchange capncp. i have seen example_netlist.py but it generates the new design rather than taking fasm file as input. convert.py can covert certain file format(yaml,json) to interchange but not fasm
citypw has quit [Remote host closed the connection]
rj has joined #symbiflow
<sf-slack> <acomodi> Hi @dkansagara. If you have a fasm file generated by VPR you can look at symbiflow-xc-fasm2bels
gsmecher has joined #symbiflow
<sf-slack> <acomodi> It is able to generate FPGA interchange physical and logical netlists which can then be used to generate a dcp (I am assuming here though that it is an xc7 device)
<sf-slack> <dkansagara> Hi @acomodi i am trying xc-fasm2bels but not sure about one input argument part *connection_database* it takes as input, i have generated xc7 part device database from rapidwright but not sure is it that conncetion_database it expects , or tilegrid connection database
<sf-slack> <acomodi> The comment there on the connection database argument may lacks to say that, if no connection database is present at the specified path, it is automatically generated
<sf-slack> <acomodi> So you have sth like `--connection_database <some_path>/xc7a35t.db`
ASHR has quit [Quit: Leaving]
rj has quit [Ping timeout: 240 seconds]
<sf-slack> <dkansagara> oh okay got it. Thank you @acomodi
rj has joined #symbiflow
<_whitenotifier-5> [symbiflow-arch-defs] kkumar23 opened issue #2128: enable CI for the quicklogic testcases added - https://git.io/JO2IK
gromero has quit [Read error: Connection reset by peer]
gromero has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala__ has quit [Ping timeout: 246 seconds]
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala has quit [Ping timeout: 246 seconds]
kgugala has joined #symbiflow
kgugala__ has joined #symbiflow
kgugala has quit [Read error: Connection reset by peer]
kgugala_ has quit [Ping timeout: 240 seconds]
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
kgugala has joined #symbiflow
kgugala__ has quit [Ping timeout: 252 seconds]
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
<sf-slack> <timo.callahan> Hi @cjearls, yes, symbiflow-examples should work for you -- install the xc7 tools, then to run the examples -- you'll only need to create a new .xdc file for the Nexys A7 (most likely you can search for one from Digilent then edit if necessary). And do some small edits in the example-level Makefile for the new TARGET. Start with counter_test.
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
<sf-slack> <cjearls> @timo.callahan Awesome, thanks for the help! Do symbiflow_synth, symbiflow_pack, symbiflow_place, symbiflow_route, symbiflow_write_fasm, and symbiflow_write_bitstream use other projects like Yosys, nextpnr, or vpr? Is the eventual goal to have xilinx equivalents to nextpnr-ecp5, ecppll, and ecppll?
rj has quit [Remote host closed the connection]
rj has joined #symbiflow
<tcal> cjearls: Yes, they are just wrappers, so I think with some digging you can figure out what the underlying tools are. Presumably Yosys for synth and pack. And I think the XC7 tools use vpr (also called vtr, "verilog-to-routing") for place and route. The fasm-to-bitstream tool I think is our own.
<sf-slack> <cjearls> Ok, thanks, that was my understanding
<sf-slack> <cjearls> I'm still wrapping my head around the project, as there are a lot of moving parts, but I think I'm starting to understand how everything works
<tcal> Currently I'm using a new variant of Symbiflow, targeting Lattice Crosslink NX --- it uses Yosys and nextpnr-nexus (which in turn uses project Oxide).
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
<Lofty> tcal: I wouldn't call Nexus a Symbiflow project
<Lofty> *Oxide, targeting Nexus
flammit has quit [Ping timeout: 258 seconds]
tcal has quit [Read error: Connection reset by peer]
gatecat has quit [Read error: Connection reset by peer]
ktemkin has quit [Read error: Connection reset by peer]
tucanae47 has quit [Read error: Connection reset by peer]
tannewt has quit [Ping timeout: 260 seconds]
ric96 has quit [Ping timeout: 245 seconds]
tcal has joined #symbiflow
gatecat has joined #symbiflow
flammit has joined #symbiflow
ovf has quit [Ping timeout: 260 seconds]
tucanae47 has joined #symbiflow
y2kbugger has quit [Ping timeout: 258 seconds]
ktemkin has joined #symbiflow
ric96 has joined #symbiflow
y2kbugger has joined #symbiflow
tannewt has joined #symbiflow
ovf has joined #symbiflow
<tcal> lofty: Thanks, you're right
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
<mithro> Lofty: Well my employer (through me) is funding Dave Shah to do Oxide work
<Lofty> I, uh, probably *wouldn't* deadname someone in public
<mithro> Sorry, did I miss a name change?
<Lofty> gatecat.
<sf-slack> <cjearls> Is there a reason people use usernames instead of their actual names in the open source FPGA community?
<mithro> Lofty: Oh, I thought it was fpgadave -> gatecat -- didn't realize it was a preferred name change. I apologize if I should no longer be using the other name.
<Lofty> cjearls: the open source FPGA scene relies on a few incredibly load-bearing trans people to keep functioning. Using usernames makes these things less painful.
<mithro> cjearls: It's pretty common in much of the open source, some people don't like their real name, some people like being more anonymous
<Lofty> And, sure, I have a legal name. But to basically everyone in the scene, my name *is* Lofty, and I much prefer it that way.
<mithro> I started signing things "Tim 'mithro' Ansell" after it turned out that people didn't associate my open source "mithro" with my real world identity "Tim Ansell"
<mithro> It is a general good idea to use whatever people ask you to use....
<sf-slack> <cjearls> Thanks for letting me know, I wasn't aware
<Lofty> mithro: but yeah, gatecat has gone to noticeable effort to change their username everywhere
<gatecat> yeah, I still don't mind my old name in private but I'm definitely trying to move on from it in public going forward
<mithro> gatecat: Okay, very happy to use whatever you prefer where you prefer it.
<gatecat> thanks!
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]