tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
Degi_ has joined #symbiflow
Degi has quit [Ping timeout: 268 seconds]
Degi_ is now known as Degi
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
umarcor has quit [Ping timeout: 240 seconds]
<mithro> oem: You might want to consider working with people like umarcor on docker packaging stuff @ https://github.com/hdl
<mithro> oem: Probably https://github.com/hdl/containers to be specific
<cr1901_modern> litghost: The past few weeks I've have been rough in meatspace so I haven't worked much on Symbiflow MachXO2 port. I'm ready to go back to it. However, I'm kinda stuck on where to go next on integrating stuff into the build system. >>
<cr1901_modern> I was wondering if you have time this week for me to poke your brain/ask more qs to get me unstuck
<cr1901_modern> I think I have three big pain points right now: >>
<cr1901_modern> 1. The duplication of code between the python scripts calling conv.tcl/diff_io helper/synth.tcl and the arch() function doing essentially the same thing in its internals.
<cr1901_modern> 2. Actually filling out the arch() cmake function itself and whether it's possible to fill it out piecemeal just so I can test that things are working.
<cr1901_modern> 3. How might I incorporate python-symbiflow-v2x into the build system directly (and "can I used the upstream yosys techlibs to generate these" or should I reimplement them w/ the special annotations?
<cr1901_modern> 4 (I lied). I have a python library hat is capable of building routing graphs for machxo2. There's no reason I can't use it for symbiflow. But is it recommended to generate the routing graphs before committing them?
<mithro> This is pretty cool -> https://excamera.com/sphinx/article-cuflow-crossbar.html never heard of "River routing" before...
<tpb> Title: Crossbars in CuFlow (at excamera.com)
<cr1901_modern> (Well, one of them, since symbiflow needs to generate the routing graph twice I think to sanitize it for VPR)
<mithro> cr1901_modern: BTW Have you seen https://github.com/SymbiFlow/symbiflow-rr-graph ?
<cr1901_modern> mithro: No I haven't. I will have to take a look and play around w/ it in an isolated work directory
<cr1901_modern> prjtrellis can generate a generic routing graph structure; I can use that to perhaps generate XML output.
<mithro> cr1901_modern: gatecat has been prjoxide has been bringing up fpga-interchange support.....
<cr1901_modern> Shouldn't I worry about getting vpr to play nice w/ prjtrellis before I worry about fpga-interchange?
<cr1901_modern> Also, not to mention: If fpga-interchange is meant to be the agreed-upon routing graph format for e.g. nextpnr fpgas, what will be done w/ the existing archs that use their own routing graph structures?
<cr1901_modern> mithro: Can you point me to the symbiflow docs page that discusses the difference between -virt.xml rrgraph files and those without virt?
<cr1901_modern> Assuming I'm not confusing -virt for something else (I just remember that symbiflow wants two versions of the routing graph)
<mithro> cr1901_modern: IIRC The "virt" graph is the one that vpr generates by default
<mithro> It's kind of a "fake" graph that can be used for research but is 100% useless for mapping to a real device until you do a *huge* amount of work
<mithro> cr1901_modern: So we just replace it directly with the real routing graph
<tpb> Title: Making a VPR routing graph from prjxray - Google Docs (at docs.google.com)
<tpb> Title: Prjxray import flow - Google Zeichnungen (at docs.google.com)
<tpb> Title: SymbiFlow Architecture Definitions - File Diagram - Google Zeichnungen (at docs.google.com)
<cr1901_modern> Okay I found the page I was looking at
<mithro> I'm quite out of date around how things work...
<cr1901_modern> (Yes, I know it's ice40)
<cr1901_modern> "The devices architecture descriptions have approximations of the real fabric, to get real fabric you have to override the rr_graph.xml file."
<cr1901_modern> "Fake fabric which "approximates" the real fabric inside the iCE40."
<mithro> The ice40 fabric is pretty regular so is much closer to what something like VPR generates compared to Xilinx stuff
gsmecher has quit [Ping timeout: 240 seconds]
<cr1901_modern> I'd been deliberately avoiding going down the 7-series rabbit hole b/c it's a lot more complex than machxo2. And if I don't have to, I don't want to have to learn how 7-series fabric works just to generate a routing graph for machxo2
<cr1901_modern> Btw, is rr_graph.real.xml fed back into VPR's --read-rr-graph option
<cr1901_modern> ?*
<mithro> cr1901_modern: I believe so
lopsided98_ has joined #symbiflow
lopsided98 has quit [Ping timeout: 245 seconds]
kraiskil has joined #symbiflow
proteusguy has quit [Ping timeout: 260 seconds]
kraiskil has quit [Ping timeout: 240 seconds]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 240 seconds]
proteusguy has joined #symbiflow
kgugala_ has joined #symbiflow
kgugala_ has quit [Read error: Connection reset by peer]
kgugala has quit [Read error: Connection reset by peer]
kgugala has joined #symbiflow
hansfbaier has joined #symbiflow
hansfbaier has quit [Quit: WeeChat 2.8]
sirri has joined #symbiflow
sirri has quit [Quit: sirri]
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
sirri has quit [Quit: sirri]
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
<sf-slack> <acomodi> FFY00_: here are the wrappers that would need re-writing: https://github.com/SymbiFlow/symbiflow-arch-defs/tree/master/xc/xc7/toolchain_wrappers
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
sirri has quit [Quit: sirri]
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 260 seconds]
epony has quit [Quit: upgrades]
epony has joined #symbiflow
sirri has quit [Quit: sirri]
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
sirri has quit [Client Quit]
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 265 seconds]
umarcor has joined #symbiflow
<umarcor> oem: have a look at https://github.com/hdl/containers
<tpb> Title: HDL containers (at hdl.github.io)
BryceSchroeder has quit [Ping timeout: 240 seconds]
gsmecher has joined #symbiflow
epony has quit [Remote host closed the connection]
epony has joined #symbiflow
rj has joined #symbiflow
rj has quit [Ping timeout: 240 seconds]
rj has joined #symbiflow
<FFY00_> okay, that seems fairly straight forward. are there toolchain scripts for other families? I had a quick look but couldn't find anything. would be project involve doing anything else? I assume it will have stretch goals, things I can do if there is time left, but is there anything else in the deliverables?
<FFY00_> *would the project
<FFY00_> and could you elaborate a bit more on the "generalization". I am gonna guess we want to port these scripts to other families, right? or is it really just OS level generalization?
cjearls has joined #symbiflow
<sf-slack> <acomodi> FFY00_: So, I think getting to a place where those scripts are family-agnostic and OS-agnostic (at least for the main ones) would be the goal
<FFY00_> okay, so the deliverables would be developing a specification/format to write the scripts, port the xc7 family and what else?
<sf-slack> <acomodi> That would do, probably we could get in also ports for other families in case they'll get supported as well. Another potential deliverable might be to add testing to cover all the wrappers functionalities
kraiskil has joined #symbiflow
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
sirri has quit [Quit: sirri]
curtosis[away] has joined #symbiflow
curtosis[away] has quit [Quit: My Mac Mini has gone to sleep. ZZZzzz…]
sirri has joined #symbiflow
<sf-slack> <sdamghan> Hey all
<mithro> Hey sdamghan!
<mithro> sdamghan, you should chat with acomodi, kgugala, Lofty and maybe even gatecat about your ODIN-II partial mapping stuff
<Lofty> Hmm?
<mithro> Lofty: sdamghan is a student at News Brunswick that is working on ODIN-II and trying to make it integrate better with Yosys
<Lofty> Could you be more specific with 'partial mapping'?
<mithro> Lofty: ODIN-II has the ability to make potentially more intelligent choices about how to map to hard / soft logic
<Lofty> mithro: ah; I call that deferred technology mapping rather than partial
<mithro> Lofty: According to sdamghan it means it can choose to only map some stuff to hard logic and have other's kept it soft logic
<mithro> But I'm just a manager these days, so no idea what I'm talking about :-)
<Lofty> sdamghan: have you seen what the University of Utah have been working on with LSOracle?
<Lofty> If I'm honest, I'm not sure that changing Yosys for Odin is the fix, because Odin still uses ABC under the hood, right?
<Lofty> And to my knowledge ABC itself does not actually have support for this.
<Lofty> Which is why UofU want to replace ABC wholesale
<Lofty> So, I agree with the goal, I just don't think it's the right way to do it.
kraiskil has quit [Ping timeout: 246 seconds]
sirri has quit [Quit: sirri]
sirri has joined #symbiflow
<litghost> cr1901_modern: For v2x stuff, acomodi and kgugala are better folks to ask. I haven't touched v2x in a while
<litghost> cr1901_modern: In terms of having a minimal define_arch, take a look at how testarch and the new quick logic arch are being brought up
<litghost> cr1901_modern: There are options that start with NO_... that disable portions of arch-defs until that support is ready
<litghost> cr1901_modern: I don't really understand your 4th question, can you rephrase?
sirri has quit [Client Quit]
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
sirri has quit [Client Quit]
sirri has joined #symbiflow
smkz has quit [Quit: reboot@]
smkz has joined #symbiflow
FFY00_ has quit [Read error: Connection reset by peer]
FFY00_ has joined #symbiflow
lambda has quit [Ping timeout: 276 seconds]
<sf-slack> <sdamghan> I haven't actually, its good to know about that tho
<sf-slack> <sdamghan> Actually, Odin receives a Verilog file in addition to an xml architecture file and it outputs a blif file which is kinda partial mapped.
<sf-slack> <sdamghan> The blif file is given to the ABC. Generally, Odin does not use ABC
<sf-slack> <sdamghan> To the best of my knowledge, Yosys does not specify the hierarchy of a statement. For instance, this [link] blif file is generated for this [link] Verilog file from yosys. We do not have access to the instance _uut1_ or _uut2_ in the blif file, so specifying the hierarchy among modules could be a problem. 1. 2. Yosys generates a unique _.model_ for each module in the output blif. However, based on the above mentioned
<sf-slack> matter, if there is a module instance inside the first module the _.model_ content for the first module would be empty. This happens while yosys should at least show the connectivity among the first module signals and the module instance signals. 3. Yosys generates a sub-circuit called $dff for reg assignments; However, in the output blif file the clock sensitivity, i.e. fallin, riasing, asynchronous or etc., is not specified.
<sf-slack> [Verilog-link] [BLIF-link]
curtosis[away] has joined #symbiflow
curtosis[away] has quit [Quit: My Mac Mini has gone to sleep. ZZZzzz…]