clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
emeb has quit [Quit: Leaving.]
gsi_ has joined #yosys
gsi__ has quit [Ping timeout: 258 seconds]
jevinskie has joined #yosys
vonnieda has joined #yosys
citypw has joined #yosys
jevinskie has quit [Quit: Textual IRC Client: www.textualapp.com]
PyroPeter has quit [Ping timeout: 252 seconds]
vonnieda_ has joined #yosys
vonnieda has quit [Ping timeout: 250 seconds]
vonnieda_ has quit [Ping timeout: 252 seconds]
PyroPeter has joined #yosys
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 258 seconds]
emeb_mac has quit [Quit: Leaving.]
rohitksingh_work has joined #yosys
Jybz has joined #yosys
dys has quit [Ping timeout: 245 seconds]
futarisIRCcloud has joined #yosys
litghost has quit [Ping timeout: 276 seconds]
Jybz has quit [Quit: Konversation terminated!]
litghost has joined #yosys
MoeIcenowy has quit [Quit: ZNC 1.6.5+deb1+deb9u1 - http://znc.in]
MoeIcenowy has joined #yosys
MoeIcenowy has quit [Client Quit]
MoeIcenowy has joined #yosys
m4ssi has joined #yosys
fsasm has joined #yosys
arnd has quit [Ping timeout: 252 seconds]
arnd has joined #yosys
Ultrasauce has quit [Ping timeout: 252 seconds]
Ultrasauce has joined #yosys
daveshah has quit [Ping timeout: 250 seconds]
ktemkin has quit [Ping timeout: 248 seconds]
marex-cloud has quit [Ping timeout: 252 seconds]
guan has quit [Ping timeout: 252 seconds]
ktemkin has joined #yosys
daveshah has joined #yosys
guan has joined #yosys
futarisIRCcloud has quit [Ping timeout: 252 seconds]
futarisIRCcloud has joined #yosys
Jybz has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
marex-cloud has joined #yosys
MoeIcenowy has quit [Quit: ZNC 1.6.5+deb1+deb9u1 - http://znc.in]
MoeIcenowy has joined #yosys
philtor has quit [Ping timeout: 268 seconds]
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
proteusguy has joined #yosys
Jybz has joined #yosys
Jybz has quit [Client Quit]
eightdot has quit [Ping timeout: 245 seconds]
eightdot has joined #yosys
rohitksingh_work has quit [Read error: Connection reset by peer]
rohitksingh has joined #yosys
jakobwenzel has quit [Quit: jakobwenzel]
rohitksingh has quit [Ping timeout: 272 seconds]
rohitksingh has joined #yosys
Cerpin has joined #yosys
fsasm has quit [Ping timeout: 258 seconds]
alcorn has quit [Ping timeout: 245 seconds]
Cerpin has quit [Ping timeout: 244 seconds]
rohitksingh has quit [Ping timeout: 244 seconds]
rohitksingh has joined #yosys
Cerpin has joined #yosys
m4ssi has quit [Ping timeout: 272 seconds]
Cerpin has quit [Ping timeout: 248 seconds]
vonnieda has joined #yosys
citypw has quit [Ping timeout: 272 seconds]
<adamgreig> daveshah: i think commit https://github.com/YosysHQ/yosys/commit/ac6cc88db352938d8dd9f2f9c6d404663674538e has broken all my multi-clock bram inference on ice40 :p
<tpb> Title: memory_bram: Fix multiclock make_transp · YosysHQ/yosys@ac6cc88 · GitHub (at github.com)
<daveshah> adamgreig: it was always broken :p
<daveshah> just not so visibly...
<adamgreig> well before it worked and now it tries to use 65000 LCs instead of a few brams
<adamgreig> so i guess i didn't notice the broken before but now it really is broken :P
<daveshah> The iCE40 doesn't have a hardware transparent (write-through) BRAM mode
<adamgreig> aha, that might be a good clue
<daveshah> It is possible to fake this for a single clock domain, but afaik there is no guaranteed safe solution across clock domains to fake it
<daveshah> Before Yosys tried, but this led to odd sim-synth mismatches
<adamgreig> ack
<adamgreig> I don't really care about write-through but I'm using nmigen and it might well be asking for that by default
<daveshah> Hmm
<daveshah> oMigen/LiteX definitely supports both
<daveshah> I've seen both in its Verilog output
<daveshah> not sure about nMigen, maybe it is an option?
<adamgreig> it is an option on the read port
<adamgreig> I'll see if that fixes things
<adamgreig> yikes. it's certainly done something.
<adamgreig> now I have hundreds fewer LCs and also no RAMs
<tnt> adamgreig: what does the verilog look like
<tnt> ?
<adamgreig> nmigen generates RTLIL rather than verilog though I do have a minimal test case
<adamgreig> however I think I've solved it now
<adamgreig> I have to set transparent=True on the read port to allow yosys to infer it for ice40
<adamgreig> but then nmigen changes the read port enable from constant 1 to some undriven signal which resets to 0, so the read port was always disabled, which is why most of my design subsequently vanished
<adamgreig> if I set transparent=False and assert rport.enable to 1 it all seems to work
<adamgreig> so... user error I guess! albeit some slightly confusing api design
<adamgreig> thanks daveshah!
mwk has quit [Ping timeout: 268 seconds]
Cerpin has joined #yosys
Cerpin has quit [Ping timeout: 272 seconds]
Cerpin has joined #yosys
Cerpin has quit [Ping timeout: 245 seconds]
vonnieda has quit [Quit: My MacBook Pro has gone to sleep. ZZZzzz…]
Cerpin has joined #yosys
Jybz has joined #yosys
Jybz has quit [Ping timeout: 258 seconds]
futarisIRCcloud has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys