clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
tpb has joined #yosys
az0re has quit [Remote host closed the connection]
strongsaxophone has quit [Quit: Lost terminal]
awordnot has quit [Ping timeout: 260 seconds]
awordnot has joined #yosys
az0re has joined #yosys
Degi has quit [Ping timeout: 265 seconds]
Degi has joined #yosys
citypw has joined #yosys
citypw has quit [Ping timeout: 240 seconds]
proteusguy has quit [Ping timeout: 246 seconds]
proteusguy has joined #yosys
anishathalye has quit [Remote host closed the connection]
somlo has quit [Ping timeout: 272 seconds]
bzztploink has quit [Read error: Connection reset by peer]
craigo has joined #yosys
ZipCPU has quit [Ping timeout: 256 seconds]
ZipCPU has joined #yosys
jakobwenzel1 has joined #yosys
svenn has joined #yosys
emeb has quit [Quit: Leaving.]
citypw has joined #yosys
xtro has quit [Ping timeout: 240 seconds]
proteusguy has quit [Ping timeout: 256 seconds]
proteusguy has joined #yosys
proteusguy has quit [Ping timeout: 240 seconds]
kraiskil has joined #yosys
Asu has joined #yosys
kraiskil has quit [Ping timeout: 264 seconds]
proteusguy has joined #yosys
dormito has quit [Ping timeout: 240 seconds]
somlo has joined #yosys
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 260 seconds]
X-Scale` is now known as X-Scale
dormito has joined #yosys
bzztploink has joined #yosys
citypw has quit [Quit: Leaving]
Lofty has quit [Quit: Love you all~]
ZirconiumX has joined #yosys
ZirconiumX is now known as Lofty
heijligen has quit [*.net *.split]
dxld has quit [*.net *.split]
Kamilion has quit [*.net *.split]
nurelin has quit [Remote host closed the connection]
fevv8[m] has quit [Read error: Connection reset by peer]
promach3 has quit [Write error: Broken pipe]
dxld has joined #yosys
Kamilion has joined #yosys
heijligen has joined #yosys
Kamilion has quit [Max SendQ exceeded]
nurelin has joined #yosys
Kamilion has joined #yosys
citypw has joined #yosys
fevv8[m] has joined #yosys
promach3 has joined #yosys
Asu has quit [Remote host closed the connection]
Asu has joined #yosys
Asu has quit [Remote host closed the connection]
Asu has joined #yosys
Asu has quit [Remote host closed the connection]
Asu has joined #yosys
citypw has quit [Ping timeout: 240 seconds]
<Lofty> Only in Verilog can you accidentally create numbers the universe does not have enough entropy to represent
<Lofty> Well. Not "only" in Verilog, I suppose
<Lofty> But still
<tpb> Title: Language guide nMigen toolchain 0.3.dev143 documentation (at nmigen.info)
<Lofty> whitequark: I'm pretty sure pysim will also break on a 208GiB Signal
<whitequark> not necessarily, actually
<whitequark> as long as you only use the low bits i think it might be f... ah no
<whitequark> the mask will be that long
<whitequark> it will
<Lofty> So nMigen probably also has some point where it can't feasibly represent some signals.
<whitequark> yep
<whitequark> it already rejects signals over 16 Mbits in back.rtlil
<whitequark> Verilog only requires support for 64 Kbits, and Yosys flat out breaks on 4 Gbits (the lexer has UB at that point)
<whitequark> (or had, I might have fixed it)
<whitequark> I'm gonna lower that to 1 Mbit, I think
<daveshah> I would suggest a 64kbit limit for now
<whitequark> daveshah: thanks, will do
<daveshah> iirc even 128kbit ish was killing performance in some cases
<whitequark> oh yeah I misremembered
<whitequark> hm, wait
<mwk> hmm
<mwk> how are things like memory init values handled?
<mwk> these could very reasonably grow Big
<whitequark> in yosys or?
<daveshah> oh yeah, hmm
<mwk> nmigen
<whitequark> nmigen emits a single $meminit cell with \DATA connected immediately to a constant
<whitequark> so no wires there
<mwk> alright, good enough then
<mwk> (for nmigen at least; yosys still make a SigSpec out of it, hmmmm)
Ristovski has left #yosys [#yosys]
<mwk> how are $meminit with non-const ADDR / DATA useful?
<whitequark> mwk: lets you do things like mem[0] = 1+1;
<whitequark> without having to evaluate it in the frontend, i gess
<mwk> oh, hm
<mwk> but doesn't the frontend already have to know how to evaluate const expressions for things like cell parameters anyway?
<whitequark> no idea
<daveshah> yeah, it does
<daveshah> also for things like wire widths
<mwk> ... petition to change it to parameters instead of ports during memory inference redesign?
<daveshah> don't have an immediate objection but there may be a subtlety I'm missing
jakobwenzel1 has quit [Quit: jakobwenzel1]
kraiskil has joined #yosys
kraiskil has quit [Ping timeout: 256 seconds]
kraiskil has joined #yosys
xtro has joined #yosys
emeb has joined #yosys
<emeb> Am I missing something or are the ECP5 PLLs and other clock management cells not yet supported by yosys?
<daveshah> ?
<daveshah> They are supported fine
<emeb> Looking through cells_sim.v I don't see the instantiation templates.
<daveshah> They are in cells_bb.v
<emeb> thx
<Lofty> daveshah: are PLLs actually simulatable?
<Lofty> It seems difficult to model (to me)
<emeb> It can be done, but it's usually a hack in verilog. I've done it by making a behavioral model of what a PLL does, but it's really hard to match the actual loop dynamics.
<emeb> Hmm... when I try to instantiate the EHXPLL yosys is happy and nextpnr seems fine through most of the process but then throws an assertion during routing.
<emeb> Terminate called after throwing an instance of 'nextpnr_ecp5::assertion_failure'
<emeb> what(): Assertion failure: is_string (/home/ericb/build/trellis/nextpnr/common/nextpnr.h:362)
oldtopman has quit [Ping timeout: 272 seconds]
lansiir has joined #yosys
kraiskil has quit [Ping timeout: 256 seconds]
m4ssi has joined #yosys
Asu has quit [Quit: Konversation terminated!]
N2TOH_ has joined #yosys
N2TOH has quit [Ping timeout: 265 seconds]
dormito has quit [Ping timeout: 240 seconds]
emeb_mac has joined #yosys
m4ssi has quit [Remote host closed the connection]
kraiskil has joined #yosys
bzztploink has quit [Read error: Connection reset by peer]
N2TOH has joined #yosys
N2TOH_ has quit [Ping timeout: 264 seconds]
kraiskil has quit [Ping timeout: 265 seconds]
bzztploink has joined #yosys
lf has quit [Ping timeout: 256 seconds]
lf_ has joined #yosys