clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
Degi has quit [Ping timeout: 258 seconds]
Degi has joined #yosys
kraiskil has quit [Ping timeout: 265 seconds]
emeb has quit [Quit: Leaving.]
peeps[zen] is now known as peepsalot
<cr1901_modern> https://gist.github.com/cr1901/e870ac71283c792a20f6d4a0ee23cb37 Okay I'm reasonably happy with this script. Spent waaay too much time optimizing it, but it's worth it in the sense that I track upstream enough that I'll eventually make up the time
<tpb> Title: FOSS FPGA Toolchain Build Script · GitHub (at gist.github.com)
<cr1901_modern> whitequark: Btw, this didn't work for me (as part of a test) until I set ICE40_CHIPDB to "${CMAKE_CURRENT_BINARY_DIR}/chipdb" https://github.com/YosysHQ/nextpnr/blob/master/ice40/CMakeLists.txt#L88
<tpb> Title: nextpnr/CMakeLists.txt at master · YosysHQ/nextpnr · GitHub (at github.com)
<cr1901_modern> err ECP5_CHIPDB*, but it's analogous
<whitequark> cr1901_modern: oh, that's prob a typo
<whitequark> think you can send a PR?
<cr1901_modern> Yes, give me a minute and I will
<tpb> Title: Fix MESSAGE indicating where externally-built .bbas live. by cr1901 · Pull Request #491 · YosysHQ/nextpnr · GitHub (at github.com)
cr1901_modern has quit [Ping timeout: 260 seconds]
citypw has joined #yosys
cr1901_modern has joined #yosys
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined #yosys
cr1901_modern has quit [Client Quit]
cr1901_modern has joined #yosys
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined #yosys
cr1901_modern has quit [Ping timeout: 258 seconds]
cr1901_modern has joined #yosys
Degi has quit [Ping timeout: 240 seconds]
Degi has joined #yosys
az0re has quit [Remote host closed the connection]
cr1901_modern has quit [Ping timeout: 240 seconds]
cr1901_modern has joined #yosys
cr1901_modern has quit [Client Quit]
cr1901_modern has joined #yosys
cr1901_modern has quit [Client Quit]
cr1901_modern has joined #yosys
proteusguy has quit [Ping timeout: 256 seconds]
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined #yosys
cr1901_modern has quit [Client Quit]
cr1901_modern has joined #yosys
proteusguy has joined #yosys
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined #yosys
_whitelogger has joined #yosys
cr1901_modern has quit [Quit: Leaving.]
cr1901_modern has joined #yosys
cr1901_modern has quit [Ping timeout: 240 seconds]
xtro has quit [Ping timeout: 240 seconds]
cr1901_modern has joined #yosys
cr1901_modern has quit [Client Quit]
cr1901_modern has joined #yosys
cr1901_modern has quit [Ping timeout: 240 seconds]
az0re has joined #yosys
emeb_mac has quit [Quit: Leaving.]
Asu has joined #yosys
Asu has quit [Ping timeout: 240 seconds]
Asuu has joined #yosys
cr1901_modern has joined #yosys
svenn has quit [Read error: Connection reset by peer]
sorear has quit [Ping timeout: 240 seconds]
sorear has joined #yosys
svenn has joined #yosys
y2kbugger has quit [Read error: Connection reset by peer]
tannewt has quit [Ping timeout: 265 seconds]
ovf has quit [Ping timeout: 260 seconds]
thoughtpolice has quit [Ping timeout: 240 seconds]
y2kbugger has joined #yosys
tannewt has joined #yosys
esden has quit [Read error: Connection reset by peer]
esden has joined #yosys
thoughtpolice has joined #yosys
ovf has joined #yosys
craigo has quit [Ping timeout: 240 seconds]
kraiskil has joined #yosys
<thardin> dkozel: haven't looked at gr internals yet, but sounds promising
citypw has quit [Ping timeout: 240 seconds]
kraiskil has quit [Ping timeout: 265 seconds]
peeps[zen] has joined #yosys
peepsalot has quit [Ping timeout: 240 seconds]
anuejn has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
vup has quit [Quit: vup]
vup has joined #yosys
kraiskil has joined #yosys
vup2 has joined #yosys
vup has quit [Read error: Connection reset by peer]
<thardin> does yosys not implement $fdisplay? I tried enabling systemverilog. no dice
<thardin> I'd like my assertion messages to go to stderr, and/or to show up even with yosys -q
<daveshah> Have you tried $error, outside of a block ?
_whitelogger has joined #yosys
<thardin> `define assert(signal, value) if (signal !== value) $error({"ASSERTION FAILED: ", `"signal`", " != ", `"value`"});
<thardin> works
<thardin> `define assert(cond) if (!(cond)) $error({"ASSERTION FAILED: ", `"cond`"});
<thardin> even simpler
<DaKnig> can yosys spit out vhdl?
vup2 has quit [Quit: vup2]
vup has joined #yosys
<lambda> DaKnig: afaik no, not even with verific - there's a WIP at https://github.com/ghdl/ghdl-yosys-plugin/pull/122, but that's definitely not ready yet
kraiskil has quit [Ping timeout: 260 seconds]
xtro has joined #yosys
xtro has quit [Ping timeout: 258 seconds]
emeb_mac has joined #yosys
Thorn has quit [Ping timeout: 240 seconds]
citypw has quit [Ping timeout: 240 seconds]
kraiskil has joined #yosys
emeb_mac has quit [Quit: Leaving.]
craigo has joined #yosys
kristianpaul has joined #yosys
xtro has joined #yosys
kraiskil has quit [Ping timeout: 258 seconds]
kraiskil has joined #yosys
kristianpaul has quit [Read error: Connection reset by peer]
kraiskil has quit [Ping timeout: 240 seconds]
kristianpaul has joined #yosys
<tpb> Title: Build your own FPGA - Nick's Blog (at blog.notdot.net)
emeb_mac has joined #yosys
<Lofty> Why stop at that?
<Lofty> I wrote a Yosys script to synthesise Verilog into 74xx logic
<tnt> still waiting for that 7400 riscv :p
Asuu has quit [Remote host closed the connection]
kristianpaul has quit [Read error: Connection reset by peer]
esden has quit [Read error: Connection reset by peer]
ovf has quit [Read error: Connection reset by peer]
tannewt has quit [Ping timeout: 240 seconds]
thoughtpolice has quit [Ping timeout: 264 seconds]
tannewt has joined #yosys
thoughtpolice has joined #yosys
esden has joined #yosys
ovf has joined #yosys
emeb_mac has quit [Ping timeout: 258 seconds]
emeb_mac has joined #yosys
proteusguy has quit [Ping timeout: 258 seconds]
proteusguy has joined #yosys
lf has quit [Ping timeout: 260 seconds]
lf_ has joined #yosys