sb0 changed the topic of #m-labs to: https://m-labs.hk :: Mattermost https://chat.m-labs.hk :: Logs http://irclog.whitequark.org/m-labs
<_whitenotifier> [nmigen] emilazy opened issue #242: bitarray dependency is unfortunate - https://git.io/JenU9
<_whitenotifier> [nmigen] emilazy commented on issue #242: bitarray dependency is unfortunate - https://git.io/JenUF
harryho has joined #m-labs
_whitelogger has joined #m-labs
sb0 has quit [Quit: Leaving]
<harryho> Hi, does anyone know if it's possible to use pysim.Simulator to trace a Cat of Signals? Thanks.
<mtrbot-ml_> [mattermost] <sb10q> What do you mean by "trace"?
<mtrbot-ml_> [mattermost] <harryho> Generating the waveform (i.e. showing value change) of a signal that combines multiple other signals
<ZirconiumX> harryho: should be easily feasible
<ZirconiumX> After all, a Cat of Signals is still just a Signal
<harryho> Hm, but pysim only accepts Signal since it uses a SignalDict to store the signals to be traced (https://github.com/m-labs/nmigen/blob/master/nmigen/back/pysim.py#L869). Plus, if I understand correctly, Cat is not a Signal and lacks the DUID that Signal has.
<harryho> So my workaround is to define a Signal in the Elaboratable to be simulated, and do `m.d.comb += signal.eq(Cat(...))`
<harryho> And it seems to work :D
<_whitenotifier> [nmigen] whitequark commented on issue #242: bitarray dependency is unfortunate - https://git.io/Jentp
<_whitenotifier> [nmigen] whitequark reviewed pull request #241 commit - https://git.io/JenqT
<whitequark> ZirconiumX: a Cat of Signals is a Value, not a Signal
<whitequark> the workaround harryho is using is correct
<ZirconiumX> Okay, fair
rohitksingh has quit [Ping timeout: 264 seconds]
harryho has quit [Remote host closed the connection]
<whitequark> ZirconiumX: de0cv get
<ZirconiumX> whitequark: woo
<ZirconiumX> Guess we'll find out how shitty my code is soon enough
<ZirconiumX> whitequark: if I added a MiSTer board which is essentially a DE-10 Nano with a lot of extra I/O, would that be accepted into nmigen-boards
<ZirconiumX> After the DE-10 itself is merged, at least
<whitequark> ZirconiumX: nmigen-boards does not have acceptance criteria beyond "the code is of reasonable quality"
<whitequark> since i do not want to be a gatekeeper of which boards are useful enough or something like that
<ZirconiumX> One question is whether this is a "extension board" or "separate platform"
<whitequark> "do people want to use it"
<ZirconiumX> Which is fair
<whitequark> so what is MiSTer actually?
<ZirconiumX> I guess my main question is that if I took the board (which has an Arduino-like pinout) and put it on a different board, what would the code look like?
<ZirconiumX> It's essentially "console emulators on a DE-10 Nano with SDRAM and optional I/O board"
<whitequark> ZirconiumX: are the base board and the expansion board developed by the same vendor?
<ZirconiumX> Separate; the expansion board is open hardware not made by Terasic themselves
<whitequark> and MiSTer is effectively a separate (if supersetting) platform that people will target as a complete device, right?
<ZirconiumX> Yeah
<ZirconiumX> Strictly the expansion board is optional, but
<whitequark> ok, I see (read the wiki)
<ZirconiumX> Since there's probably a grand total of one person who'll use the combination of "nMigen + MiSTer", I'm happy with making it required
<whitequark> I would say do this: make MiSTer its own nMigen platform that adds (or does not) resources from daughterboards according to parameters passed in the constructor
<whitequark> or just make the daughterboard resources class variables or something
<whitequark> the latter is what Mercury does
<ZirconiumX> Would this subclass DE10NanoPlatform or AlteraPlatform? Trying to conceptually visualise this
<whitequark> DE10Nano
<ZirconiumX> Right, okay. Thank you
<whitequark> fwiw might take me a few days to get around to de10cv, have a bunch of things in my queue rn
<ZirconiumX> I completely understand, don't worry :P
<_whitenotifier> [nmigen] jfng synchronize pull request #241: rpc: add public Records as module ports. - https://git.io/JenJn
<_whitenotifier> [nmigen] codecov[bot] commented on pull request #241: rpc: add public Records as module ports. - https://git.io/JenYv
<_whitenotifier> [nmigen] Success. 82.23% remains the same compared to acbd09c - https://codecov.io/gh/m-labs/nmigen/compare/acbd09c3091eb8ed2282915d155e9f77203bdd59...52922c30dcb807c85201eac845327cd533daa1f0
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing acbd09c...52922c3 - https://codecov.io/gh/m-labs/nmigen/compare/acbd09c3091eb8ed2282915d155e9f77203bdd59...52922c30dcb807c85201eac845327cd533daa1f0
cr1901_modern1 has joined #m-labs
cr1901_modern has quit [Ping timeout: 240 seconds]
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591434113?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing acbd09c...890ad0a - https://codecov.io/gh/m-labs/nmigen/compare/acbd09c3091eb8ed2282915d155e9f77203bdd59...890ad0a0753d1a3063ad43b36a6550442a90c46f
<_whitenotifier> [nmigen] Success. 82.23% remains the same compared to acbd09c - https://codecov.io/gh/m-labs/nmigen/compare/acbd09c3091eb8ed2282915d155e9f77203bdd59...890ad0a0753d1a3063ad43b36a6550442a90c46f
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591434113?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] whitequark closed pull request #241: rpc: add public Records as module ports. - https://git.io/JenJn
<_whitenotifier> [m-labs/nmigen] whitequark pushed 1 commit to rpc [+0/-0/±1] https://git.io/JenYB
<_whitenotifier> [m-labs/nmigen] jfng 7b0f107 - rpc: add public Records as module ports.
<_whitenotifier> [nmigen] whitequark commented on pull request #241: rpc: add public Records as module ports. - https://git.io/JenYR
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591441199?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] Success. 82.45% (+0.21%) compared to acbd09c - https://codecov.io/gh/m-labs/nmigen/commit/7b0f1077061f497b16536d12e60850c9e14c25f0
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing acbd09c...7b0f107 - https://codecov.io/gh/m-labs/nmigen/commit/7b0f1077061f497b16536d12e60850c9e14c25f0
<_whitenotifier> [nmigen] ZirconiumX synchronize pull request #221: vendor.altera: use buffer primitives - https://git.io/JesUg
<_whitenotifier> [nmigen] Success. 82.23% remains the same compared to acbd09c - https://codecov.io/gh/m-labs/nmigen/commit/7b0f1077061f497b16536d12e60850c9e14c25f0
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591441199?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] ZirconiumX commented on pull request #221: vendor.altera: use buffer primitives - https://git.io/JenYX
<_whitenotifier> [nmigen] whitequark commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenY7
<_whitenotifier> [nmigen] ZirconiumX commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenYF
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591447494?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing fdb9937...ba3dc3c - https://codecov.io/gh/m-labs/nmigen/compare/fdb99379db2719d8af35115adc38d9b4da0d6b5c...ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a
<_whitenotifier> [nmigen] whitequark commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenYA
<_whitenotifier> [nmigen] Success. 82.34% remains the same compared to fdb9937 - https://codecov.io/gh/m-labs/nmigen/compare/fdb99379db2719d8af35115adc38d9b4da0d6b5c...ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591447494?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] ZirconiumX commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenOL
<_whitenotifier> [nmigen] whitequark commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenOg
<_whitenotifier> [nmigen] emilazy commented on issue #242: bitarray dependency is unfortunate - https://git.io/JenOw
<_whitenotifier> [nmigen] ZirconiumX commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenOr
<_whitenotifier> [nmigen] ZirconiumX commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenO6
<_whitenotifier> [nmigen] whitequark commented on issue #242: bitarray dependency is unfortunate - https://git.io/JenOX
<_whitenotifier> [nmigen] emilazy commented on issue #242: bitarray dependency is unfortunate - https://git.io/JenOD
<_whitenotifier> [nmigen] ZirconiumX commented on commit ba3dc3c18f68581c8d843e9179d3601c1cb8ca9a - https://git.io/JenO9
<_whitenotifier> [nmigen] ZirconiumX opened issue #243: RFC: must_read/must_write attributes for Signals - https://git.io/Jen3G
m4ssi has joined #m-labs
<_whitenotifier> [nmigen] whitequark commented on issue #243: RFC: must_read/must_write attributes for Signals - https://git.io/Jen3C
cr1901_modern has joined #m-labs
cr1901_modern2 has joined #m-labs
cr1901_modern1 has quit [Ping timeout: 245 seconds]
cr1901_modern has quit [Ping timeout: 240 seconds]
cr1901_modern2 has quit [Quit: Leaving.]
cr1901_modern has joined #m-labs
Getorix has quit [Ping timeout: 265 seconds]
m4ssi has quit [Remote host closed the connection]
<mtrbot-ml_> [mattermost] <sb10q> @astro zc706 serial is now connected
<mtrbot-ml_> [mattermost] <sb10q> @hartytp ETA for Thermostat v2 hardware?
<mtrbot-ml_> [mattermost] <hartytp> @sb10q well, currently I have no hw to test on
<mtrbot-ml_> [mattermost] <hartytp> since on one board the 3V3 reg spontaneously died for reasons we can't figure out (maybe rough student handling?)
<mtrbot-ml_> [mattermost] <hartytp> and the other board exhibited the PoE turning on/off behaviour so I sent it back to Greg
<mtrbot-ml_> [mattermost] <hartytp> IIRC TS don't have any more boards, so I either need that board back from Greg or you'd need to send me your board to finish testing
<mtrbot-ml_> [mattermost] <hartytp> or we bite the bullet and send v2.0 off to manufacture without completing all tests on the grounds that we no longer have enough HW to finish testing v1.0
<mtrbot-ml_> [mattermost] <sb10q> @hartytp seems it'll be hard to finish it by november
<mtrbot-ml_> [mattermost] <sb10q> @astro so from your email I gather that you won't need the thermostat board after a few days from now?
<mtrbot-ml_> [mattermost] <hartytp> @sb10q yes. Let's discuss the contracts in a different channel if you have questions but, to be clear, we won't hold you responsible for delays that aren't your fault
kristianpaul is now known as krispaul
krispaul is now known as kristianpaul
mumptai has joined #m-labs
<_whitenotifier> [smoltcp] whitequark closed pull request #306: Only use first 3 DHCP advertised DNS servers (cf #305) - https://git.io/JeYUd
<_whitenotifier> [m-labs/smoltcp] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JenWI
<_whitenotifier> [m-labs/smoltcp] cjbe 15a3e84 - Only use first 3 DHCP advertised DNS servers.
<_whitenotifier> [smoltcp] whitequark closed issue #305: panic decoding DHCP domain name server option - https://git.io/JemOM
<Astro-> @sb10q I'll try to hurry with the last thermostat feature (R/T conversion with that steinhart-hart equation)
<_whitenotifier> [smoltcp] Success. The Travis CI build passed - https://travis-ci.org/m-labs/smoltcp/builds/591605084?utm_source=github_status&utm_medium=notification
<_whitenotifier> [m-labs/nmigen] whitequark pushed 1 commit to master [+1/-0/±1] https://git.io/JenWK
<_whitenotifier> [m-labs/nmigen] whitequark 52f3602 - rpc: add support for Yosys RPC protocol.
<_whitenotifier> [nmigen] whitequark deleted branch rpc - https://git.io/fhUU5
<_whitenotifier> [m-labs/nmigen] whitequark deleted branch rpc
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591613340?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] Success. 82.51% (+0.17%) compared to 1621ceb - https://codecov.io/gh/m-labs/nmigen/commit/52f36025a9184c30c35ffe65f927ac02e7638255
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing 1621ceb...52f3602 - https://codecov.io/gh/m-labs/nmigen/commit/52f36025a9184c30c35ffe65f927ac02e7638255
X-Scale has quit [Quit: HydraIRC -> http://www.hydrairc.com <- Po-ta-to, boil em, mash em, stick em in a stew.]
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591613340?utm_source=github_status&utm_medium=notification
zng has quit [Quit: ZNC 1.7.2 - https://znc.in]
zng has joined #m-labs
<_whitenotifier> [m-labs/nmigen] whitequark pushed 1 commit to master [+0/-0/±1] https://git.io/JenlX
<_whitenotifier> [m-labs/nmigen] jfng 905920a - rpc: add public Records as module ports.
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591632339?utm_source=github_status&utm_medium=notification
<_whitenotifier> [nmigen] Success. The Travis CI build passed - https://travis-ci.org/m-labs/nmigen/builds/591632339?utm_source=github_status&utm_medium=notification
rohitksingh has joined #m-labs
<Astro-> looks like rust nightly now breaks smoltcp with a few errors. update with care.
<Astro-> both 0.5 and master
<whitequark> what, again?
<whitequark> i thought i fixed everything
<whitequark> what are the errors?
lkcl has quit [Ping timeout: 240 seconds]
<Astro-> whitequark: error[E0713]: borrow may still be in use when destructor runs --> /home/stephan/.cargo/registry/src/github.com-1ecc6299db9ec823/smoltcp-0.5.0/src/socket/ref_.rs:61:9
<Astro-> 4 days old nightly still works
<whitequark> Astro-: no, for master
<whitequark> i fixed that exact issue in master
<Astro-> sorry
<Astro-> fixed indeed, sorry for the noise
<Astro-> now it's only my code that breaks :/
<_whitenotifier> [nmigen] mithro commented on issue #213: flow graph analysis and automation - https://git.io/JenRf
<_whitenotifier> [nmigen] whitequark commented on issue #213: flow graph analysis and automation - https://git.io/JenRU
<_whitenotifier> [nmigen] mithro commented on issue #213: flow graph analysis and automation - https://git.io/JenRs
Getorix has joined #m-labs
lkcl has joined #m-labs
mumptai has quit [Quit: Verlassend]
<_whitenotifier> [nmigen] Failure. 82.34% (-0.18%) compared to 52f3602 - https://codecov.io/gh/m-labs/nmigen/commit/905920aa76b1c133798a1b26b888e978b27feacf
<_whitenotifier> [nmigen] Failure. 82.34% (-0.18%) compared to 52f3602 - https://codecov.io/gh/m-labs/nmigen/commit/905920aa76b1c133798a1b26b888e978b27feacf
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing 52f3602...905920a - https://codecov.io/gh/m-labs/nmigen/commit/905920aa76b1c133798a1b26b888e978b27feacf
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing 52f3602...905920a - https://codecov.io/gh/m-labs/nmigen/commit/905920aa76b1c133798a1b26b888e978b27feacf
<_whitenotifier> [nmigen] Failure. 82.34% (-0.18%) compared to 52f3602 - https://codecov.io/gh/m-labs/nmigen/commit/905920aa76b1c133798a1b26b888e978b27feacf
<_whitenotifier> [nmigen] Success. Coverage not affected when comparing 52f3602...905920a - https://codecov.io/gh/m-labs/nmigen/commit/905920aa76b1c133798a1b26b888e978b27feacf
gnufan_home has joined #m-labs
rohitksingh has quit [Ping timeout: 250 seconds]
rohitksingh has joined #m-labs