whitequark[m] changed the topic of #nmigen to: nMigen hardware description language · code https://github.com/nmigen · logs https://freenode.irclog.whitequark.org/nmigen
revolve has quit [Read error: Connection reset by peer]
<_whitenotifier-3> [YoWASP/nextpnr] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/J3jEl
<_whitenotifier-3> [YoWASP/nextpnr] whitequark a6df365 - Update dependencies.
peepsalot has joined #nmigen
nelgau has joined #nmigen
roamingr1 has quit [Ping timeout: 240 seconds]
revolve has joined #nmigen
pftbest has quit [Remote host closed the connection]
<_whitenotifier-3> [YoWASP/yosys] whitequark pushed 1 commit to develop [+0/-0/±1] https://git.io/J3jVJ
<_whitenotifier-3> [YoWASP/yosys] whitequark fdf29ba - Update dependencies.
FFY00_ has quit [Remote host closed the connection]
FFY00_ has joined #nmigen
pftbest has joined #nmigen
Yehowshua has joined #nmigen
pftbest has quit [Ping timeout: 265 seconds]
<tpw_rules> whitequark: looks like the current `len(if_data["tests"]) == 0` is not generalizable to other cases, like Else inside of Else, or Elif inside of Elif. i was thinking of adding a "depth" member to if_data then checking if it matches self.domain._depth
<whitequark[m]> sgtm
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
pftbest has joined #nmigen
Yehowshua has quit [Quit: Ping timeout (120 seconds)]
pftbest has quit [Ping timeout: 268 seconds]
<_whitenotifier-3> [nmigen] tpwrules opened pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3jD1
<cr1901_modern> tpw_rules: This has nothing to do w/ anything, but your Twitter avatar is amazing and brings back many fond memories :3
<tpw_rules> funny story: i (mostly) beat that game on my graphing calculator
<cr1901_modern> graphing calcs had a GBC emulator?
Yehowshua has joined #nmigen
<tpw_rules> i have an nspire cx CAS which has a nice color LCD and fast ARM processor
<cr1901_modern> Ahhh
<tpw_rules> but i'm sure someone has tried to port a GBC emulator to the eZ80 calcs
<cr1901_modern> Someone made an 8088 IBM PC emulator that runs on 8088 IBM PC. It takes an hour to boot to a DOS prompt. Wouldn't be surprised. Anyways, I know this is OT, but I couldn't resist.
<cr1901_modern> B/c I saw the avatar and the damn "Wario fire theme" started playing in my head
<tpw_rules> there is a reasonably performant GB emulator for the black and white z80 calculators which is effectively a JIT
<tpw_rules> that's just z80 to z80
<tpw_rules> (cue the 0 people in this channel who harangue me that gbz80 is really more like 8080)
pftbest has joined #nmigen
<cr1901_modern> Maybe when I eventually do a GB CPU core in the next century, I'll figure out the difference.
pftbest has quit [Ping timeout: 240 seconds]
<_whitenotifier-3> [nmigen] codecov[bot] commented on pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3j9j
<_whitenotifier-3> [nmigen] codecov[bot] edited a comment on pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3j9j
<_whitenotifier-3> [nmigen] codecov[bot] edited a comment on pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3j9j
<_whitenotifier-3> [nmigen] codecov[bot] edited a comment on pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3j9j
<_whitenotifier-3> [nmigen] codecov[bot] edited a comment on pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3j9j
<_whitenotifier-3> [nmigen] whitequark closed pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3jD1
<_whitenotifier-3> [nmigen/nmigen] whitequark pushed 2 commits to master [+0/-0/±3] https://git.io/J3jQl
<_whitenotifier-3> [nmigen/nmigen] tpwrules 7443f89 - hdl.dsl: raise SyntaxError for mis-nested If/Elif/Else statements
<_whitenotifier-3> [nmigen/nmigen] tpwrules d09dedf - tests.hdl.dsl: add tests for mis-nested Switch/Case and FSM/State statements
<_whitenotifier-3> [nmigen] whitequark commented on pull request #610: Properly raise SyntaxError for various mis-nested If/Elif/Else statements - https://git.io/J3jQ8
<_whitenotifier-3> [nmigen/nmigen] github-actions[bot] pushed 1 commit to gh-pages [+0/-0/±13] https://git.io/J3jQR
<_whitenotifier-3> [nmigen/nmigen] whitequark 4b5743f - Deploying to gh-pages from @ d09dedfb485ee94cb492ef8e44ebb87260892532 🚀
<tpw_rules> lkcl: it's all fixed now
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 265 seconds]
Yehowshua has quit [Quit: Ping timeout (120 seconds)]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 260 seconds]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 252 seconds]
Bertl_oO is now known as Bertl_zZ
Degi has quit [Ping timeout: 246 seconds]
Degi has joined #nmigen
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 268 seconds]
<d1b2> <DX-MON> @Darius when I said "continuing execution of some chosen child process path", I mean code path within your Python program, not a new executable.
<d1b2> <Darius> ahh OK
pftbest has joined #nmigen
<d1b2> <Darius> I didn't know if multiprocessing would do that, but if it does it's less gross than calling fork directly
<d1b2> <DX-MON> yeah, it's quite literally designed for what you are after in this case w/o having to deal with fork directly
<d1b2> <DX-MON> 🙂
pftbest has quit [Ping timeout: 260 seconds]
revolve has quit [Read error: Connection reset by peer]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 252 seconds]
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 260 seconds]
revolve has joined #nmigen
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
chipmuenk has joined #nmigen
pftbest has joined #nmigen
pftbest has quit [Ping timeout: 268 seconds]
pftbest has joined #nmigen
<lkcl> tpw_rules: star!
moony has quit [Ping timeout: 240 seconds]
moony has joined #nmigen
moony has quit [Excess Flood]
moony has joined #nmigen
revolve has quit [Read error: Connection reset by peer]
revolve has joined #nmigen
Bertl_zZ is now known as Bertl
revolve has quit [Ping timeout: 252 seconds]
revolve has joined #nmigen
revolve has quit [Read error: Connection reset by peer]
revolve has joined #nmigen
lkcl has quit [Quit: BNC by ##bnc4you]
lkcl has joined #nmigen
chiastre has quit [Ping timeout: 240 seconds]
chiastre has joined #nmigen
richbridger has joined #nmigen
thorns514 has joined #nmigen
thorns514 has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
thorns514 has joined #nmigen
thorns514 has quit [Client Quit]
thorns514 has joined #nmigen
bvernoux has joined #nmigen
chiastre has quit [Remote host closed the connection]
roamingr1 has joined #nmigen
Yehowshua has joined #nmigen
roamingr1 has quit [Ping timeout: 252 seconds]
roamingr1 has joined #nmigen
Yehowshua has quit [Quit: Connection closed]
pftbest has quit [Remote host closed the connection]
pftbest has joined #nmigen
roamingr1 has quit [Ping timeout: 252 seconds]
thorns514 has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
revolve has quit [Read error: Connection reset by peer]
revolve has joined #nmigen
chiastre has joined #nmigen
roamingr1 has joined #nmigen
Raito_Bezarius has quit [*.net *.split]
jfng has quit [*.net *.split]
tannewt has quit [*.net *.split]
JJJollyjim has quit [*.net *.split]
cesar[m]1 has quit [*.net *.split]
emily has quit [*.net *.split]
whitequark[m] has quit [*.net *.split]
_whitenotifier-3 has quit [Ping timeout: 245 seconds]
tannewt has joined #nmigen
Raito_Bezarius has joined #nmigen
emily has joined #nmigen
JJJollyjim has joined #nmigen
cesar[m]1 has joined #nmigen
jfng has joined #nmigen
whitequark[m] has joined #nmigen
Raito_Bezarius has quit [Max SendQ exceeded]
Raito_Bezarius has joined #nmigen
fevv8[m] has quit [Ping timeout: 246 seconds]
jfng has quit [Ping timeout: 276 seconds]
emily has quit [Ping timeout: 276 seconds]
Chips4Makers[m] has quit [Ping timeout: 245 seconds]
iwxzr has quit [Ping timeout: 248 seconds]
vmedea[m] has quit [Ping timeout: 258 seconds]
Niklas[m] has quit [Ping timeout: 247 seconds]
JJJollyjim has quit [Ping timeout: 276 seconds]
cesar[m]1 has quit [Ping timeout: 276 seconds]
whitequark[m] has quit [Ping timeout: 276 seconds]
chipmuenk has quit [Quit: chipmuenk]
emily has joined #nmigen
fevv8[m] has joined #nmigen
Chips4Makers[m] has joined #nmigen
jfng has joined #nmigen
chipmuenk has joined #nmigen
fevv8[m] has quit [Ping timeout: 245 seconds]
chipmuenk has quit [Quit: chipmuenk]
Chips4Makers[m] has quit [Ping timeout: 245 seconds]
emily has quit [Ping timeout: 258 seconds]
jfng has quit [Ping timeout: 276 seconds]
vmedea[m] has joined #nmigen
iwxzr has joined #nmigen
bvernoux has quit [Read error: Connection reset by peer]
JJJollyjim has joined #nmigen
cesar[m]1 has joined #nmigen
whitequark[m] has joined #nmigen
Niklas[m] has joined #nmigen
fevv8[m] has joined #nmigen
emily has joined #nmigen
Chips4Makers[m] has joined #nmigen
jfng has joined #nmigen
pftbest has quit [Remote host closed the connection]
pftbest has joined #nmigen
pftbest has quit [Remote host closed the connection]
pftbest has joined #nmigen
roamingr1 has quit [Ping timeout: 252 seconds]
Yehowshua has joined #nmigen
Yehowshua has quit [Quit: Ping timeout (120 seconds)]
pftbest has quit [Remote host closed the connection]
EmilJ has joined #nmigen
lf has quit [Ping timeout: 250 seconds]
lf has joined #nmigen
pftbest has joined #nmigen
Yehowshua has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
Yehowshua has quit [Quit: Ping timeout (120 seconds)]
pftbest has joined #nmigen
Yehowshua has joined #nmigen
pftbest has quit [Ping timeout: 240 seconds]
roamingr1 has joined #nmigen
Yehowshua has quit [Ping timeout: 240 seconds]
pftbest has joined #nmigen