futarisIRCcloud has joined #symbiflow
Vonter has quit [Ping timeout: 244 seconds]
Vonter has joined #symbiflow
space_zealot has quit [Ping timeout: 250 seconds]
citypw has joined #symbiflow
_whitelogger has joined #symbiflow
OmniMancer has joined #symbiflow
Bertl_zZ is now known as Bertl
<sf-slack2> <acomodi> mithro: I have commented PR https://github.com/SymbiFlow/symbiflow-arch-defs/pull/775. `<tile>` tags appear in XMLs (only xc7-related), but they are "invisible" to VtR
<tpb> Title: Sign in to GitHub · GitHub (at github.com)
<sf-slack2> <acomodi> mithro: more details are in the comment on GH
citypw has quit [Quit: Leaving]
space_zealot has joined #symbiflow
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
OmniMancer has quit [Read error: Connection reset by peer]
OmniMancer has joined #symbiflow
_whitelogger has joined #symbiflow
<mithro> acomodi: Okay great
<mithro> mkurc: Where are we with the Yosys attribute + parameter stuff?
<sf-slack2> <mkurc> @mithro I fixed handling of default values of floating point (aka real) parameters
<sf-slack2> <mkurc> So if there are no more review issues then it is ready on my side.
<mithro> mkurc: Okay I'll take a look shortly
<sf-slack2> <mkurc> @mithro @litghost Have you managed to successfully model an async set/reset flip-flop (eg. FDSE) with timings ? I've been trying to make such a test for V2X SDF import with no success.
<sf-slack2> <mkurc> I tried to use the exact example as given in the VPR doc (https://docs.verilogtorouting.org/en/latest/tutorials/arch/timing_modeling), this time no async reset and the VPR segfaults with it.
<mithro> mkurc: Example?
<mithro> FYI it is a public holiday here in the US so litghost probably won't be around today...
<sf-slack2> <mkurc> Ahh, ok.
<sf-slack2> <mkurc> I can prepare a short doc with explanations / examples
<mithro> mkurc: That shouldn't be needed, just an example pasted here would be enough
<sf-slack2> <mkurc> @mithro The example is there https://docs.verilogtorouting.org/en/latest/tutorials/arch/timing_modeling/ under the "Sequential block (no internal paths)" section.
<sf-slack2> <mkurc> @mithro The first problem is that when such a model+pb_type is injected into the v2x test suite then the VPR crashes (segfaults) with the assertion failure: `vpr/src/timing/timing_graph_builder.cpp:250 add_block_to_timing_graph: Assertion 'clk_port' failed.`
<sf-slack2> <mkurc> @mitrho But this is just a D flip-flop
<mithro> mkurc: I saw that issue recently
<mithro> mkurc: Let me find the fix
<sf-slack2> <mkurc> @mitrho: Ok
<sf-slack2> <mkurc> @mitrho And another thing: when having a FF with async set/reset like FDSE then I see no way to define timing between SR and Q.
<mithro> mkurc: It is caused by the eblif not having the clock pin
lavatech_ has joined #symbiflow
<tpb> Title: mux_gen + v2x: Support generating FASM annotations for muxes. by mithro · Pull Request #703 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<mithro> mkurc: I'll pull it out into it's own pull request
<litghost> Fdse is syncronous reset
<litghost> Fdce/fdpe is async reset
space_zealot has quit [Ping timeout: 248 seconds]
<litghost> In sync case, it is clock to q timing, and setup/hold on sr
<litghost> In async it recovery+removal on sr
<tpb> Title: prjxray-db/CLBLL_L.sdf at master · SymbiFlow/prjxray-db · GitHub (at github.com)
<tpb> Title: Small improvements to eblif generation by mithro · Pull Request #783 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack2> <mkurc> @mitrho Thanks. And yes, I meant FDCE for async reset.
OmniMancer has quit [Quit: Leaving.]
<mithro> mkurc: I added a bunch of tests into that pull request -> https://github.com/SymbiFlow/symbiflow-arch-defs/pull/783
<tpb> Title: Small improvements to EBLIF generation by mithro · Pull Request #783 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<mithro> kgugala: What is the status of https://github.com/SymbiFlow/symbiflow-arch-defs/pull/756?
<tpb> Title: [DNM][WIP] Use Yosys to generate blif in v2x tests by kgugala · Pull Request #756 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<mithro> litghost / acomodi: kmurry just landed the reformatting patch to vtr
<sf-slack2> <acomodi> mithro: that's great! We need to merge it to the symbiflow fork ASAP. There already is a PR open with a merge from upstream, but currently it is failing during routing. I am looking at the issue. More information in the PR itself: https://github.com/SymbiFlow/vtr-verilog-to-routing/pull/59
<tpb> Title: Merge upstream by acomodi · Pull Request #59 · SymbiFlow/vtr-verilog-to-routing · GitHub (at github.com)
ZipCPU has quit [Ping timeout: 248 seconds]
ZipCPU has joined #symbiflow
mats has quit [Ping timeout: 252 seconds]
mats has joined #symbiflow
<mithro> acomodi: It looks like xc7 is failing on master at the moment?
<mithro> acomodi: Nope, I'm work sorry...
lavatech_ has quit [Ping timeout: 248 seconds]
Bertl is now known as Bertl_zZ
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow