proteus-guy has joined #symbiflow
proteus-guy has quit [Ping timeout: 258 seconds]
kraiskil has joined #symbiflow
OmniMancer has joined #symbiflow
OmniMancer has quit [Quit: Leaving.]
Bertl is now known as Bertl_zZ
kraiskil has quit [Ping timeout: 265 seconds]
_whitelogger has joined #symbiflow
_whitelogger has joined #symbiflow
_whitelogger has joined #symbiflow
rvalles_ has quit [Ping timeout: 248 seconds]
rvalles_ has joined #symbiflow
OmniMancer has joined #symbiflow
mario_h has joined #symbiflow
mario_h has quit [Ping timeout: 268 seconds]
mario_h has joined #symbiflow
zeigren has quit [Quit: killed]
hzeller[m] has quit [Quit: killed]
nrossi has quit [Quit: killed]
lromor[m] has quit [Quit: killed]
synaption[m] has quit [Quit: killed]
xobs has quit [Quit: killed]
bunnie[m] has quit [Quit: killed]
mrhat2010[m] has quit [Quit: killed]
mario_h has quit [Quit: Leaving]
_whitelogger has joined #symbiflow
killruana has quit [Quit: ZNC 1.7.5 - https://znc.in]
killruana has joined #symbiflow
synaption[m] has joined #symbiflow
bunnie[m] has joined #symbiflow
nrossi has joined #symbiflow
xobs has joined #symbiflow
hzeller[m] has joined #symbiflow
lromor[m] has joined #symbiflow
zeigren has joined #symbiflow
mrhat2010[m] has joined #symbiflow
<sf-slack> <rodrigomelo9> Hi everybody, my name is Rodrigo, from Argentina (my mother tongue is Spanish). I am an Electronic Engineer and I have been working with FPGAs since 2006. I have more experience with Xilinx devices (starting from Spartan 2), but also I used devices from Intel/Altera (Cyclone IV, V, max X), Microchip/Microsemi/Actel (SmartaFusion2 and ProASCI 3) and Lattice (iCE40). Moreover, I am a Free Software user (I mainly
<sf-slack> use Debian GNU/Linux workstations). I have some opensource related projects in GitHub and GitLab (IP cores and tools) but I want to help with opensource FPGA flows. I could help with things related to VHDL, Verilog, Tcl, Python, Bash, Makefiles, FPGA EDA tools, testing things with hardware, etc. As I commented to @me1 here https://github.com/SymbiFlow/symbiflow-arch-defs/issues/1243#issuecomment-569256099, I am interested to
<sf-slack> start to work getting the Xilinx primitives. Well, it was my introduction for you to know me. Regards
<tpb> Title: parse_pdf_modules.py seems to be not working · Issue #1243 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
mario_h has joined #symbiflow
anon2 has joined #symbiflow
mario_h has quit [Ping timeout: 260 seconds]
kraiskil has joined #symbiflow
Bertl_zZ is now known as Bertl
kraiskil has quit [Ping timeout: 258 seconds]
kraiskil has joined #symbiflow
<Xiretza> daveshah: hey, do you happen to be at 36c3? I have a few questions regarding nextpnr-xilinx that would probably be easier and faster to talk about in person :)
kraiskil has quit [Ping timeout: 258 seconds]
_whitelogger has joined #symbiflow
<daveshah> Xiretza: no, I'm not
<Xiretza> daveshah: ah, alright. I may be doing something wrong, but following the Arty example in the readme, fasm2frames.py fails because it can't find a few *.OSERDESE.* keys, which are generated by xilinx/fasm.cc:124-127. Since I have no idea what I'm doing, I just tried changing OSERDESE to OSERDES there and don't get any warnings anymore - was that a horrible idea?
<daveshah> Xiretza: it might be a change in prjxray
<daveshah> I'm not even sure where they come from
<daveshah> I would need to have more of a look
<Xiretza> should I just open an issue on github?
<daveshah> Please do
<daveshah> Can you include the fasm output too?
<Xiretza> yeah, I'll do that. there's at least one more thing that broke due to prjxray changes that I managed to fix myself, I'll open a PR(s) for that.
<daveshah> Thanks
<daveshah> This is why I am not promoting nextpnr-xilinx too heavily btw (although I'm very glad of other devs testing/helping), it's still a very unstable environment
<Xiretza> yeah, that's the feeling I got too, the readme however is already a great resource :)
<Xiretza> daveshah: also, is the nextpnr_rw_usp yosys branch still required? everything seems to be working fine with mainline yosys, don't know if the bitstream is correct though.
<daveshah> No, mainline Yosys should be OK now
<daveshah> It hasn't been very well tested and it may occasionally infer distributed RAM primitives that aren't supported, but it would error out in that case
<Xiretza> daveshah: alright, I'll update the docs then
<daveshah> Due to some routeability issues, with upstream Yosys adding `-nowidelut` to `synth_xilinx` often results in much shorter route times (with a small timing penalty). This might be something worth adding too
<daveshah> abc9 would be better but I think it uses a carry primitive that nextpnr-xilinx doesn't support yet
kraiskil has joined #symbiflow
kraiskil has quit [Ping timeout: 258 seconds]
davidthings has joined #symbiflow
davidw has joined #symbiflow
davidw is now known as Guest21415
Guest21415 has left #symbiflow ["Leaving"]
davidthings has quit [Quit: Leaving]
davidthings has joined #symbiflow
<Xiretza> daveshah: I'm assuming `-nobram` is a leftover from when BRAMs weren't as developed yet? it seems to work without it now. also `-nowidelut` actually results in lower f_max with the attosoc example
<daveshah> Yeah, do note the Fmax numbers it produces are not correct for xc7 btw
<Xiretza> ah, is the slack histogram any better? it looks about the same as well, with -nowidelut maybe with a little better distribution on the lower end, but nothing major. I'll add it if you say it has a benefit though.
OmniMancer has quit [Quit: Leaving.]
<davidthings> daveshah: I pulled and rebuilt prjtrellis this AM from master and after months of flawless performance, my Superconference badges do not do anything when flashed (dfu_utils). I have confirmed old .bit files still work. And even the simplist project (blink an LED) do not work. I'm trying a version of prjtrellis from a month ago to see if I can find a working version. Any hints? Any thoughts?
<daveshah> Do you mean just prjtrellis or the whole flow?
<daveshah> I can't think of any reason for this
<davidthings> I pulled and rebuilt the whole thing
<davidthings> OK. I'm going to do some binary searches to see if I can find something that works for me.
<davidthings> I will report back.
<davidthings> cd ..
davidthings has quit [Read error: Connection reset by peer]
davidthings has joined #symbiflow
kraiskil has joined #symbiflow
anon2 has quit [Remote host closed the connection]
mario_h has joined #symbiflow
<davidthings> daveshah: I'm a bit confused... are the authoritative versions of nextpnr and yosys in yosysHQ? And if so, where is the authoritative prjtrellis? IceStorm?
<daveshah> davidthings: Authoritative Yosys and nextpnr are YosysHQ. prjtrellis is SymbiFlow. icestorm is cliffordwolf
<davidthings> Thanks
Bertl is now known as Bertl_oO
<davidthings> Happy to report my code is all working on the latest tools. Somewhere along the way I had started adding the -abc9 flag to Yosys. Removing that makes everything work again.
flokli has joined #symbiflow
mario_h has quit [Remote host closed the connection]
mario_h has joined #symbiflow
mario_h has quit [Remote host closed the connection]
<daveshah> davidthings: `-abc9` should definitely not be breaking things
<daveshah> can you share the simplest example that it breaks
<davidthings> Sure.
<davidthings> Gimme a sec to make something mininal, Dave.
<davidthings> Here's a fragment that shows the problem. https://pastebin.com/09VVPnsM
<tpb> Title: [VeriLog] /* Build with the following and no blink. Omit the -abc9 and blink. %.json - Pastebin.com (at pastebin.com)
<davidthings> Let me know if I can help any further. I'm just so happy that my code works again...
davidw has joined #symbiflow
davidw is now known as Guest90992
davidthings has quit [Ping timeout: 260 seconds]
Guest90992 has quit [Quit: Leaving]
davidthings has joined #symbiflow
mario_h has joined #symbiflow
anon2 has joined #symbiflow
mario_h has quit [Read error: Connection reset by peer]
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow