clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
cemerick_ has joined #yosys
s1dev has joined #yosys
dxld has quit [Quit: Bye]
dxld has joined #yosys
cemerick_ has quit [Ping timeout: 244 seconds]
seldridge has joined #yosys
s1dev has quit [Ping timeout: 256 seconds]
<Nazara> And debian's text mode installer also looks like that
sandeepkr_ has joined #yosys
promach has quit [Read error: Connection reset by peer]
<Nazara> whoops, wrong room
nonlinear is now known as NB0X-Matt-CA
s1dev has joined #yosys
s1dev has quit [Quit: Leaving]
seldridge has quit [Ping timeout: 244 seconds]
promach has joined #yosys
dys has quit [Ping timeout: 240 seconds]
indy has quit [Quit: ZNC - http://znc.sourceforge.net]
indy has joined #yosys
AlexDaniel has quit [Ping timeout: 244 seconds]
tmiw has quit [Ping timeout: 264 seconds]
tmiw has joined #yosys
mjoldfield has quit [Read error: Connection reset by peer]
mjoldfie_ has joined #yosys
mjoldfie_ has quit [Read error: Connection reset by peer]
mjoldfield has joined #yosys
mjoldfield has quit [Read error: Connection reset by peer]
mjoldfie_ has joined #yosys
mjoldfie_ has quit [Read error: Connection reset by peer]
mjoldfield has joined #yosys
mjoldfield has quit [Read error: Connection reset by peer]
mjoldfie_ has joined #yosys
cr1901 has quit [Ping timeout: 244 seconds]
seldridge has joined #yosys
cemerick_ has joined #yosys
cr1901 has joined #yosys
cemerick has joined #yosys
dxld has quit [Quit: Bye]
cemerick_ has quit [Ping timeout: 256 seconds]
dxld has joined #yosys
mjoldfie_ has quit [Read error: Connection reset by peer]
mjoldfield has joined #yosys
mjoldfield has quit [Read error: Connection reset by peer]
mjoldfie_ has joined #yosys
fsasm has joined #yosys
seldridge has quit [Ping timeout: 240 seconds]
NB0X-Matt-CA has quit [Excess Flood]
NB0X-Matt-CA has joined #yosys
seldridge has joined #yosys
X-Scale has quit [Ping timeout: 240 seconds]
pie__ has joined #yosys
pie_ has quit [Ping timeout: 256 seconds]
digshadow has quit [Ping timeout: 248 seconds]
X-Scale has joined #yosys
digshadow has joined #yosys
maikmerten has joined #yosys
<maikmerten> mattvenn_, I have ordered my batch of PCBs for the HX8K extension board: https://pasteboard.co/Hyf6PiY.png
<maikmerten> I ended up putting on there two push buttons and two LEDs
<maikmerten> still only two full-size pmods, but I need those buttons
dys has joined #yosys
<maikmerten> I can provide the KiCad project file or gerber files if you are interested
<maikmerten> (or anyone else)
<maikmerten> (of course, until I have received and assembled my boards I can only hope things work out)
<maikmerten> (I tested all pins used for the pmods with a SPI EEPROM, so I'm pretty sure at least the pmod sections is okay)
<mattvenn_> cool
<mattvenn_> yes, do you have a github account?
<mattvenn_> you could upload the kicad files there
<mattvenn_> then I'll make an order next time I need pcb
<mattvenn_> s
<maikmerten> I do have a github account
<maikmerten> so yeah, I think I can put stuff there
cemerick has quit [Ping timeout: 240 seconds]
<mattvenn_> nice work. Upload a zip of your gerbers too!
<maikmerten> can do :-)
<mattvenn_> cool, thanks!
<mattvenn_> as you're using kicad - check this board exporter tool I use: https://github.com/mattvenn/kicad/tree/master/scripts
<mattvenn_> you create a config file that gives the board specs. Then it will export the gerbers and generate a PDF spec
<mattvenn_> if you have a silkscreen with $ver$ in it, it will be replaced with git's current commit hash
<maikmerten> ah, neat!
* maikmerten starred that repo
X-Scale has quit [Ping timeout: 244 seconds]
X-Scale has joined #yosys
digshadow has quit [Ping timeout: 240 seconds]
digshadow has joined #yosys
cemerick has joined #yosys
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 240 seconds]
seldridge has quit [Ping timeout: 244 seconds]
digshadow has quit [Ping timeout: 256 seconds]
seldridge has joined #yosys
maikmerten has quit [Remote host closed the connection]
digshadow has joined #yosys
seldridge has quit [Ping timeout: 240 seconds]
fsasm has quit [Quit: Leaving]
cemerick_ has quit [Ping timeout: 260 seconds]
digshadow has quit [Ping timeout: 240 seconds]
digshadow has joined #yosys
digshadow has quit [Ping timeout: 240 seconds]
lutsabound has joined #yosys
ZipCPU has quit [Ping timeout: 240 seconds]
jit10_ has joined #yosys
digshadow has joined #yosys
TD-Linux has quit [*.net *.split]
maartenBE has quit [*.net *.split]
kristianpaul has quit [*.net *.split]
jit10 has quit [*.net *.split]
jit10_ is now known as jit10
kristianpaul has joined #yosys
TD--Linux has joined #yosys
TD--Linux is now known as TD-Linux
<shapr> I guess the closest thing to a @yosys twitter account is Clifford Wolf's twitter account?
seldridge has joined #yosys
<lutsabound> No. ico_tss is better.
<sorear> Do you mean ico_TC?
<sorear> There’s also @SymbioticEDA
<shapr> in any case, yosys-friendly hardware arrived today! BeagleWire https://twitter.com/shapr/status/1027333462435487746
seldridge has quit [Ping timeout: 276 seconds]
<TD-Linux> any thoughts on how esd-resistant the ice40 is? can I get away with direct connections to user-facing connectors?