clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
tmiw_ has quit [Remote host closed the connection]
promach has joined #yosys
cemerick has joined #yosys
tmiw has joined #yosys
cemerick_ has joined #yosys
s1dev has joined #yosys
cemerick has quit [Ping timeout: 244 seconds]
cemerick has joined #yosys
kc8apf has left #yosys [#yosys]
cemerick_ has quit [Ping timeout: 260 seconds]
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 248 seconds]
promach has quit [Quit: WeeChat 2.2]
s1dev has quit [Ping timeout: 264 seconds]
cemerick has joined #yosys
cemerick_ has quit [Ping timeout: 240 seconds]
cemerick has quit [Ping timeout: 260 seconds]
promach has joined #yosys
lutsabound has quit [Quit: Connection closed for inactivity]
pie_ has joined #yosys
Hail_Spacecake has joined #yosys
digshadow has quit [Ping timeout: 240 seconds]
smarter_ is now known as smarter
digshadow has joined #yosys
jit10 has joined #yosys
<jit10> hello, can you please fix backends/smt2/Makefile.inc to use os.path.dirname(os.path.realpath(__file__)) ?
<jit10> if the yosys-smtbmc is a symlink it doesn't work, this is how nix(package manager) works.
<daveshah> jit10: it is probably best if you create an issue or PR on Github (otherwise it might get lost)
<jit10> okay. will do that.
mwk has quit [Ping timeout: 260 seconds]
mwk has joined #yosys
xerpi has joined #yosys
X-Scale has quit [Quit: HydraIRC -> http://www.hydrairc.com <- In tests, 0x09 out of 0x0A l33t h4x0rz prefer it :)]
oldtopman has quit [Ping timeout: 240 seconds]
oldtopman has joined #yosys
oldtopman has quit [Read error: No route to host]
oldtopman has joined #yosys
s1dev has joined #yosys
s1dev has quit [Client Quit]
oldtopman has quit [Read error: Connection reset by peer]
oldtopman has joined #yosys
pie_ has quit [Ping timeout: 244 seconds]
xerpi has quit [Quit: Leaving]
puddingpimp has left #yosys [#yosys]
oldtopman has quit [Read error: No route to host]
oldtopman has joined #yosys
pie_ has joined #yosys
lutsabound has joined #yosys
cemerick has joined #yosys
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 248 seconds]
cemerick_ has quit [Ping timeout: 240 seconds]
cemerick has joined #yosys
pie_ has quit [Ping timeout: 260 seconds]
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 240 seconds]
cemerick has joined #yosys
cemerick_ has quit [Ping timeout: 255 seconds]
cemerick_ has joined #yosys
cemerick has quit [Ping timeout: 240 seconds]
lutsabound has quit [Quit: Connection closed for inactivity]
promach_ has joined #yosys
pie_ has joined #yosys
xerpi has joined #yosys
daveshah_ has joined #yosys
rqou_ has joined #yosys
seldridge has joined #yosys
daveshah has quit [Ping timeout: 240 seconds]
sorear has quit [Ping timeout: 240 seconds]
fevv8[m] has quit [Ping timeout: 240 seconds]
blunaxela has quit [Ping timeout: 240 seconds]
TD-Linux has quit [Ping timeout: 240 seconds]
bluesceada has quit [Ping timeout: 240 seconds]
rqou has quit [Ping timeout: 240 seconds]
mirage335 has quit [Ping timeout: 240 seconds]
rqou_ is now known as rqou
daveshah_ is now known as daveshah
bluesceada has joined #yosys
TD-Linux has joined #yosys
danieljabailey has quit [Ping timeout: 240 seconds]
danieljabailey has joined #yosys
cemerick_ has quit [Ping timeout: 268 seconds]
mirage335 has joined #yosys
sorear has joined #yosys
xerpi has quit [Quit: Leaving]
maikmerten has joined #yosys
maikmerten has quit [Quit: Ex-Chat]
maikmerten has joined #yosys
ZipCPU has quit [Ping timeout: 248 seconds]
ZipCPU has joined #yosys
dys has joined #yosys
promach_ has quit [Ping timeout: 248 seconds]
cemerick_ has joined #yosys
Laksen has joined #yosys
seldridge has quit [Ping timeout: 264 seconds]
seldridge has joined #yosys
seldridge has quit [Ping timeout: 240 seconds]
seldridge has joined #yosys
cemerick has joined #yosys
cemerick_ has quit [Ping timeout: 240 seconds]
AlexDaniel has joined #yosys
maikmerten has quit [Remote host closed the connection]
cemerick has quit [Ping timeout: 264 seconds]
xerpi has joined #yosys
s1dev has joined #yosys
Laksen has quit [Quit: Leaving]
seldridge has quit [Ping timeout: 256 seconds]
AlexDaniel has quit [Ping timeout: 240 seconds]
xerpi has quit [Quit: Leaving]
dxld has quit [Quit: Bye]
dxld has joined #yosys