clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
rohitksingh has quit [Ping timeout: 250 seconds]
seldridge has joined #yosys
danieljabailey has quit [Ping timeout: 245 seconds]
danieljabailey has joined #yosys
seldridge has quit [Ping timeout: 244 seconds]
X-Scale has quit [Ping timeout: 244 seconds]
emeb has quit [Quit: Leaving.]
X-Scale has joined #yosys
seldridge has joined #yosys
leviathanch has joined #yosys
seldridge has quit [Ping timeout: 246 seconds]
<swetland> how does one configure clock constraints with nextpnr-ice40?
rohitksingh_work has joined #yosys
rohitksingh_work has quit [Ping timeout: 268 seconds]
rohitksingh_work has joined #yosys
dys has quit [Ping timeout: 252 seconds]
m4ssi has joined #yosys
<daveshah> Don't expect them to make a big difference at the moment though
dys has joined #yosys
GuzTech has joined #yosys
<swetland> they don't seem to. I'm seeing enormous timing differences between lattice and nextpnr (and the design is not huge -- like 30-40% of a UP5K). I'm wondering if my use of the PLL is confusing it and maybe it's not sending the clocks across the SB_GBs.
<swetland> trying to figure out how to figure out which signals are using the global routing resources
<daveshah> Global promotion is automatic and won't make too big a difference
<daveshah> Normally the FOSS tools are 20-40% behind the vendor ones
* swetland nods.
<swetland> lattice: DFFs: 262 LUTs: 745 CARRYs: 119 BRAMs: 13
<swetland> nextpnr: DFFs: 527 LUTs: 2509 CARRYs: 145 BRAMs: 7
<daveshah> swetland: seems like this is a Yosys bug
<daveshah> It hasn't inferred block ram somewhere and is using LUTs instead
<swetland> ah suppose so, more synthesis issue
<swetland> yeah definitely the case
<swetland> early days full of adventure. I keep bouncing back and forth between poking at the foss stuff because its shiny and gritting my teeth and dealing with the vendor stuff because it (more or less) works reliably.
<swetland> looks like both the cpu's register file and the display's pattern rom are not using BRAM w/ yosys
fsasm has joined #yosys
<ZipCPU> Yeah ... the register file was a challenge for me as well
X-Scale has quit [Ping timeout: 246 seconds]
[X-Scale] has joined #yosys
[X-Scale] is now known as X-Scale
kraiskil has joined #yosys
rohitksingh_work has quit [Read error: Connection reset by peer]
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 264 seconds]
rohitksingh has joined #yosys
ZipCPU has quit [Ping timeout: 245 seconds]
ZipCPU has joined #yosys
[X-Scale] has joined #yosys
X-Scale has quit [Ping timeout: 252 seconds]
[X-Scale] is now known as X-Scale
rohitksingh has quit [Ping timeout: 268 seconds]
kraiskil has quit [Ping timeout: 268 seconds]
rohitksingh has joined #yosys
kraiskil has joined #yosys
rohitksingh has quit [Ping timeout: 240 seconds]
seldridge has joined #yosys
develonepi3 has joined #yosys
develonepi3 has quit [Remote host closed the connection]
rohitksingh has joined #yosys
develonepi3 has joined #yosys
rohitksingh has quit [Ping timeout: 244 seconds]
GuzTech has quit [Quit: Leaving]
emeb has joined #yosys
seldridge has quit [Ping timeout: 240 seconds]
celadon has joined #yosys
rohitksingh has joined #yosys
<cr1901_modern> >Normally the FOSS tools are 20-40% behind the vendor ones
<cr1901_modern> Huh, I thought for ice40 "no significant difference exists". Also, kinda surprised icecube didn't choke when inferring block RAM
kraiskil has quit [Ping timeout: 268 seconds]
seldridge has joined #yosys
<daveshah> I wonder if the problem here is due to initial statements
emeb has quit [Quit: Leaving.]
<daveshah> icecube ignores them in all cases as if you were doing asic synthesis
<daveshah> Yosys follows them pedantically and won't infer BRAM if there is an initial statement on the output reg
<daveshah> Because ice40 BRAMs don't have a guaranteed initial output value
leviathanch has quit [Quit: http://quassel-irc.org - Chat comfortably. Anywhere.]
seldridge has quit [Ping timeout: 272 seconds]
m4ssi has quit [Remote host closed the connection]
<swetland> icecube2/synplify will infer a pair of SB_BRAM256x16 from this, yosys will not:
<swetland> reg [15:0] R[0:15];
<swetland> always @(posedge clk) begin
<swetland> if (wreg)
<swetland> R[wsel] <= wdata;
<swetland> end
<swetland> assign adata = R[asel];
<swetland> assign bdata = R[bsel];
<daveshah> swetland: That is not physically possible on the ice40
<daveshah> There must be a register somewhere else in the design that icecube is folding in
<swetland> ah that would explain why if I manually instantiate a pair of SB_BRAM256x16 I do not get a working design
<daveshah> Yup
<daveshah> If there is an initial value on those registers that are being folded in, that would be enough to stop Yosys inferring bram
<daveshah> Yosys should fold in registers too even if not in the same module as the ram
<swetland> probably self-inflicted. should fully debug this little cpu in simulation first. and actually should probably design the register file to be synchronous as that's how it's going to work on the fpga
<daveshah> Of course almost any other commercially available fpga will map that file to distributed ram just fine
<daveshah> This is something of an ice40 peculiarity
<swetland> okay, so I'm not entirely crazy
<swetland> last time I did a bunch of fpga work it was with artix7
<daveshah> ZipCPU had the same problem
<ZipCPU> ;)
<daveshah> It's also quite wasteful to map a 256 bit register file to a 4kbit BRAM. Distributed ram is a much more efficient solution
<daveshah> A shame SiliconBlue didn't include it really
<ZipCPU> But the design doesn't fit in the device if you put the register file into FF's
<daveshah> In this case it does - its just much larger than it needs to be
<swetland> I was toying with adding banked registers to my toy cpu
<swetland> just because of that
seldridge has joined #yosys
fsasm has quit [Ping timeout: 272 seconds]
rohitksingh has quit [Ping timeout: 244 seconds]
seldridge has quit [Ping timeout: 268 seconds]
rohitksingh has joined #yosys
dys has quit [Ping timeout: 252 seconds]
dys has joined #yosys
rohitksingh has quit [Ping timeout: 252 seconds]
indy has quit [Read error: Connection reset by peer]
indy has joined #yosys
seldridge has joined #yosys
seldridge has quit [Ping timeout: 244 seconds]
mirage335 has quit [Ping timeout: 252 seconds]
mirage335 has joined #yosys
tpb has joined #yosys