clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
gsi_ has joined #yosys
gsi__ has quit [Ping timeout: 245 seconds]
PyroPeter has quit [Ping timeout: 264 seconds]
PyroPeter has joined #yosys
s_frit has quit [Ping timeout: 258 seconds]
pie_ has quit [Ping timeout: 252 seconds]
citypw has joined #yosys
azonenberg has quit [Quit: Leaving.]
citypw has quit [Ping timeout: 244 seconds]
dys has joined #yosys
emeb_mac has quit [Ping timeout: 272 seconds]
_whitelogger has joined #yosys
_whitelogger has joined #yosys
dys has quit [Ping timeout: 268 seconds]
Jybz has joined #yosys
pie_ has joined #yosys
pie_ has quit [Remote host closed the connection]
pie_ has joined #yosys
proteusguy has quit [Ping timeout: 258 seconds]
pie__ has joined #yosys
pie_ has quit [Ping timeout: 250 seconds]
Strobokopp has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
Jybz has joined #yosys
pie__ has quit [Ping timeout: 250 seconds]
dys has joined #yosys
_whitelogger has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
Jybz has joined #yosys
Jybz has quit [Quit: Konversation terminated!]
Jybz has joined #yosys
Jybz has quit [Remote host closed the connection]
Jybz has joined #yosys
rrika has quit [Ping timeout: 246 seconds]
rrika has joined #yosys
pie__ has joined #yosys
pie__ has quit [Ping timeout: 252 seconds]
<trmm> how much difference is expected between two bitstreams with similar verilog inputs?
<trmm> For faster cycle times, is there anyway to ask nextpnr to only use limited parts of the chip (so that more of the bitstream is "empty")?
emeb has joined #yosys
<trmm> more context: I added faster reprogramming support to tinyprog so that it doesn't erase sectors that it doesn't need to and it seems that many small changes in the verilog leave much of the bitstream identical so there is a definite speedup from the patch
<trmm> otherwise erasing and flashing the entire chip is around 60 KB/s, so a full bitstream takes about 12 seconds
<trmm> with the partial update it can be as fast as the time to read the bitstream, around 600 KB/s for an identical one
<cr1901_modern> I need to update/play with tinyprog again
<cr1901_modern> last I used it was late September to add micropython support
citypw has joined #yosys
citypw has quit [Remote host closed the connection]
fsasm has joined #yosys
citypw has joined #yosys
citypw has quit [Client Quit]
trmm has quit [Ping timeout: 245 seconds]
<ZirconiumX> daveshah: Where did the names for the cells come from? I've read through the docs and the ECP5 cells mostly match the docs, but is it just to match the docs?
<daveshah> ZirconiumX: usually either to match the vendor primitives or be obvious that they don't match (any TRELLIS_ or ICESTORM_ primitives)
<ZirconiumX> Ah, okay
<daveshah> trmm: region constraints are a bit of a work in progress
<daveshah> However, because of the ECP5 bitstream structure if a design uses a significant number of IO it is likely a large number of frames will change
<daveshah> Because of routing through them changing
Jybz has quit [Quit: Konversation terminated!]
Jybz has joined #yosys
emeb has quit [Quit: Leaving.]
<corecode> i guess i can't use enum with yosys?
<FL4SHK> corecode: SV support is incredibly weak
<ZirconiumX> corecode: unless you pony up for Verific
fsasm has quit [Ping timeout: 248 seconds]
emeb_mac has joined #yosys
cr1901_modern has quit [Ping timeout: 248 seconds]
cr1901_modern has joined #yosys
<corecode> boo
pie__ has joined #yosys
phire has quit [Read error: Connection reset by peer]
phire has joined #yosys
pie__ has quit [Ping timeout: 252 seconds]
<emeb_mac> boo who?
<ZipCPU> Why are you crying?
<ZirconiumX> I have absolutely no idea who the best person to ask for this is, but Quartus and Yosys have a disagreement on whether Verilog generate for loops requires blocks to have names
<ZirconiumX> So who's right, for the sake of writing portable Verilog?
<daveshah> I think, at least in plain Verilog, generate blocks have to be named to have wires/regs declared inside them but not otherwise
dys has quit [Ping timeout: 244 seconds]
<ZirconiumX> Here's the snippet Yosys accepts but Quartus complains about: https://gist.github.com/ZirconiumX/7ead4ac0a06afccb3b181558e8a70ccb
<tpb> Title: test.v · GitHub (at gist.github.com)
<ZipCPU> ZirconiumX: Yosys issues no errors for the block names, so ... I put them in when something has to work with Quartus
<ZirconiumX> Thus why I'm asking which is correct :P
<daveshah> I think Yosys is correct according to the newer standards but not original Verilog
<bwidawsk> quartus claims to support verilog 2001 and SV 2005
<bwidawsk> neither work for me without the name
<daveshah> istr there are differences in support between paid and free quartus
<daveshah> There was a twitter thread about this a while ago
<bwidawsk> I am using paid
<bwidawsk> fwiw
Jybz has quit [Quit: Konversation terminated!]
<ZirconiumX> What does Yosys' "public wires" stat mean?
<ZirconiumX> I/O pins or something?
<daveshah> Wires that weren't created or processed by Yosys (eg without a dollar prefix name)
pie__ has joined #yosys
pie__ has quit [Ping timeout: 250 seconds]
tpb has quit [Remote host closed the connection]
tpb has joined #yosys