clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
ananan has joined #yosys
<ananan> Is there a dedicated channel for symbiyosys / formal (specifically riscv-formal stuff) or is this the best place?
<ananan> and/or has anyone here used riscv-formal?
strobokopp has quit [Ping timeout: 264 seconds]
Degi has quit [Ping timeout: 260 seconds]
Degi has joined #yosys
maartenBE has quit [Ping timeout: 240 seconds]
<awygle> this is the best place, probably
emilazy has quit [Ping timeout: 240 seconds]
bubble_buster has quit [Ping timeout: 240 seconds]
mithro has quit [Ping timeout: 272 seconds]
rjeli has quit [Ping timeout: 260 seconds]
mithro has joined #yosys
bubble_buster has joined #yosys
citypw has joined #yosys
maartenBE has joined #yosys
emilazy has joined #yosys
rjeli has joined #yosys
emeb has quit [Quit: Leaving.]
m4ssi has joined #yosys
az0re has joined #yosys
emeb_mac has quit [Quit: Leaving.]
m4ssi has quit [Remote host closed the connection]
indy has joined #yosys
jakobwenzel has joined #yosys
kraiskil has joined #yosys
dys has joined #yosys
Asu has joined #yosys
<thardin> morning
<thardin> how do you all do testing of your designs? I'm considering something like every module foo.v having a test_foo.v test bench, and write_verilog synth_foo.v from yosys
<thardin> and do both iverilog test_foo.v foo.v and iverilog test_foo.v synth_foo.v
<thardin> and maybe a reference output for each module
<strubi> Testing, ha. I ended up running things through a jupyter notebook and some py.test framework
<strubi> (using pyosys API)
promach3 has quit [Quit: killed]
nurelin has quit [Quit: killed]
fevv8[m] has quit [Quit: killed]
nurelin has joined #yosys
N2TOH_ has joined #yosys
N2TOH has quit [Ping timeout: 240 seconds]
<thardin> haven't had too much problem with the actual verilog so far, it's mostly the numerics/dsp stuff that's tricky
<thardin> but being reasonably sure none of the I/O stuff or lower-level modules work as expected is less mental load :)
<strubi> implicit sign extension and that sort? they keep being painful...
fevv8[m] has joined #yosys
promach3 has joined #yosys
<strubi> Not sure, no vegan i am
<strubi> whoops
<thardin> nah more like control loops and such
<thardin> integration blowing up
<thardin> those sorts of things
<thardin> sign extension problems can be caught statically. purely numeric issues are hard to prove/disprove by machine
<strubi> but that doesn't sound too HDL specific, you'll just have to pinpoint eventuall discrepancies between numerical model and HW implementation (and hope your vendor models are right)
<strubi> I am putting coffee into my throat now. Not trusting fully sized avocados yet.
<strubi> Argh... I'm dumb. These windows..
kraiskil has quit [Ping timeout: 264 seconds]
kraiskil has joined #yosys
Asu has quit [Remote host closed the connection]
Asu has joined #yosys
nengel has joined #yosys
kraiskil has quit [Ping timeout: 256 seconds]
<thardin> "vegans after dark" :]
nengel has quit [Ping timeout: 260 seconds]
nengel has joined #yosys
nengel has quit [Ping timeout: 260 seconds]
kraiskil has joined #yosys
kraiskil has quit [Max SendQ exceeded]
kraiskil has joined #yosys
kraiskil has quit [Ping timeout: 260 seconds]
kraiskil has joined #yosys
jakobwenzel has quit [Remote host closed the connection]
nengel has joined #yosys
<thardin> are there any dev boards with the HX8K?
<daveshah> There's the mystorm BlackIce which is hx4k (8k die)
<tnt> icoboard maybe ?
<tpb> Title: iCE40-HX8K Breakout Board - Lattice Semiconductor (at www.latticesemi.com)
<thardin> I can probably squeeze my design down to 1k in due time, but I don't want to have to while I'm still experimenting
<tpb> Title: Köp Olimex iCE40HX8K FPGA utvecklingskort till rätt pris @ Electrokit (at www.electrokit.com)
<thardin> looks like it uses the AVRISP connector for programming?
<thardin> icoboard looks interesting
<thardin> can it be programmed without a pi?
<daveshah> yes there is a USB baseboard for it
indy has quit [Ping timeout: 265 seconds]
nengel has quit [Ping timeout: 264 seconds]
indy has joined #yosys
<thardin> taking a look at the 32c3 talk about it
<thardin> I do have an extra pi laying around, but it would be nice to have fewer things inbetween
<strubi> why not just use one of the omnipresent FT2232 boards?
<thardin> if that works then sure. I have one of those even
<thardin> haven't dived into the icoboard documentation yet :)
Asu has quit [Remote host closed the connection]
Asu has joined #yosys
daknig has joined #yosys
emeb has joined #yosys
<strubi> and someone has changed what?
<strubi> (pls ignore again)
strubi has quit [Remote host closed the connection]
ross_s has joined #yosys
citypw has quit [Ping timeout: 240 seconds]
dys has quit [Ping timeout: 260 seconds]
X-Scale has quit [Ping timeout: 246 seconds]
FFY00 has quit [Ping timeout: 260 seconds]
FFY00 has joined #yosys
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #yosys
SpaceCoaster has quit [Ping timeout: 265 seconds]
X-Scale has joined #yosys
X-Scale` has joined #yosys
X-Scale has quit [Ping timeout: 244 seconds]
X-Scale` is now known as X-Scale
<thardin> what's the tool to program the arty board again?
<daveshah> xc3sprog?
FFY00 has quit [Read error: Connection reset by peer]
AdamHorden has quit [Ping timeout: 246 seconds]
FFY00 has joined #yosys
<thardin> I'll give it a look, thanks
FFY00 has quit [Remote host closed the connection]
FFY00 has joined #yosys
<thardin> we have blinkenlights! :)
<thardin> xc3sprog -c nexys4 blinky.bit was the invocation
FFY00 has quit [Read error: Connection reset by peer]
FFY00 has joined #yosys
kraiskil has quit [Ping timeout: 265 seconds]
AdamHorden has joined #yosys
<lambda> thardin: https://github.com/trabucayre/openFPGALoader is an alternative that's less dead
<tpb> Title: GitHub - trabucayre/openFPGALoader: Universal utility for programming FPGA (at github.com)
m4ssi has joined #yosys
<bwidawsk> m/][i
<thardin> lambda: noted
craigo has joined #yosys
<thardin> should I be concerned that nextpnr-xilinx doesn't complain about ports not being assigned properly? it compiles my code for the iceblink board just fine, even with me not having set up all the PMOD pins yet
<daveshah> It is missing loads of error checking
<thardin> I gets output like "Info: IO port 'PMOD1' driven by IBUF '$auto$iopadmap.cc:409:execute$36570'"
<thardin> ah ok
<daveshah> There is a very good reason it isn't upstream (and at the current rate may never end up upstream)
<thardin> found digilent's xdc files, so I should hopefully get something going
craigo has quit [Quit: Leaving]
kraiskil has joined #yosys
<thardin> I get SCLK on my DAC at the speed I expect. wrong pin though, but that can be fixed tomorrow
kraiskil has quit [Ping timeout: 264 seconds]
az0re has quit [Ping timeout: 240 seconds]
dys has joined #yosys
<awygle> do conditional cover statements make sense? is there a difference between `cover(a&b)` and `if a: cover(b)`? does the latter even work?
<mwk> I don't suppose we have someone who knows the clk2fflogic pass here?
<mwk> I'd really like to know why it transforms the $adff cell to effectively use `ARST || $past(ARST)` instead of just `ARST` as the reset
<mwk> and, for that matter, why it doesn't do such a thing on $dffsr
<daveshah> I think this was to fix some slightly confusing behaviour, I remember it being discussed with claire at one point
az0re has joined #yosys
<tpb> Title: asynchronous reset mechanism of D flip-flop in yosys : yosys (at www.reddit.com)
az0re has quit [Ping timeout: 240 seconds]
Asu has quit [Remote host closed the connection]
ktemkin has quit [Read error: Connection reset by peer]
ktemkin has joined #yosys
daveshah has quit [Read error: Connection reset by peer]
daveshah has joined #yosys
AdamHorden has quit [Ping timeout: 246 seconds]
AdamHorden has joined #yosys
m4ssi has quit [Remote host closed the connection]
kraiskil has joined #yosys