acomodi has quit [Quit: Connection closed for inactivity]
Bertl is now known as Bertl_zZ
citypw has joined #symbiflow
Vonter has quit [Ping timeout: 248 seconds]
_whitelogger has joined #symbiflow
Vonter has joined #symbiflow
adjtm_ has joined #symbiflow
duck25 has joined #symbiflow
anuejn has joined #symbiflow
adjtm has quit [Remote host closed the connection]
duck2 has quit [Quit: Ping timeout (120 seconds)]
anuejn_ has quit [Quit: No Ping reply in 180 seconds.]
proteusguy has quit [Ping timeout: 272 seconds]
noahh has quit [Ping timeout: 272 seconds]
duck25 is now known as duck2
citypw has quit [Read error: Connection reset by peer]
Vonter has quit [Quit: WeeChat 2.5]
Vonter has joined #symbiflow
proteusguy has joined #symbiflow
citypw has joined #symbiflow
OmniMancer has joined #symbiflow
citypw has quit [Ping timeout: 246 seconds]
acomodi has joined #symbiflow
Bertl_zZ is now known as Bertl
bjorkintosh has quit [Remote host closed the connection]
bjorkintosh has joined #symbiflow
bjorkintosh has quit [Remote host closed the connection]
bjorkintosh has joined #symbiflow
Vonter has quit [Ping timeout: 258 seconds]
Vonter has joined #symbiflow
alexhw has quit [Ping timeout: 244 seconds]
bjorkintosh has quit [Quit: Leaving]
bjorkintosh has joined #symbiflow
bjorkintosh has quit [Quit: Leaving]
<sf-slack2> <mkurc> @litghost Does it make sense to start working on clock tree synthesis by removing BUFGCTRL and BUFHCE stuff from the harness and adding it to VPR? The harness could provide the input clock wire for example at a HCLK_VBRK tile. That would allow to work on clock routing independently from the IOB stuff.
<sf-slack2> <butta> We just pulled the newest version of symbiflow-arch-defs and now when running yosys on our tests we get the following error:
<sf-slack2> <butta> We just pulled the newest version of symbiflow-arch-defs and now when running yosys on our tests we get the following error: symbiflow-arch-defs/xc7/techmap/cells_map.v:1397: ERROR: Can't resolve task name `\$error'. It looks like the error reporting system $error is undefined. Also, we are getting an error here in the first place which we weren't before and could use help tracking down this error. It's probably
<sf-slack2> important to mention that we overwrote brams.txt which confuses things a bit more.
proteusguy has quit [Ping timeout: 245 seconds]
<litghost> Butta: that is a regression in yosys that was fixed yesterday
citypw has joined #symbiflow
<sf-slack2> <butta> @litghost Alright thanks, we fixed the issue
proteusguy has joined #symbiflow
OmniMancer has quit [Quit: Leaving.]
noahh has joined #symbiflow
<litghost> mkurc: I believe we should hold off for now. I think there is plenty of fuzzer work that is required in parallel for https://github.com/SymbiFlow/prjxray/issues/867 , and I think that is the better short term priority. We can re-evaluate depending on how long the IBUF/OBUF effort takes
<tpb> Title: Create a test design using LiteX, LiteEth and LiteDRAM and figure out what bits are still needed · Issue #867 · SymbiFlow/prjxray · GitHub (at github.com)
citypw has quit [Ping timeout: 268 seconds]
noahh has quit [Ping timeout: 244 seconds]
<hackerfoo> RapidWright has some nice documentation of Xilinx terminology: https://www.rapidwright.io/docs/Xilinx_Architecture.html
<tpb> Title: Xilinx Architecture Terminology RapidWright 2018.3.3-beta documentation (at www.rapidwright.io)
hackkitten has quit [Read error: Connection reset by peer]
hackkitten has joined #symbiflow
<hackerfoo> From https://www.rapidwright.io/docs/Design_Checkpoints.html: A design checkpoint file (extention .dcp) is a Vivado file format that contains a synthesized netlist, design constraints and can contain placement and routing information. RapidWright provides readers and writers to parse and export the various components.
<sf-slack2> <pgielda> Its a zip as far as I remember
<hackerfoo> It should be possible to use their APL2.0 source to generate DCPs.
<sf-slack2> <pgielda> (Renamed .zip file)
<sf-slack2> <pgielda> (I might be mistaken of course, somebody would have to check)
<hackerfoo> That's what I figured, but generating/parsing whatever is in the zip isn't always trivial.
<hackerfoo> The zip has: dcp.xml, top.wdf, top.edf, top_stub.v, top_late.xdc, top_iPhysOpt.tcl, top.xdef, top.rda, top.shape, top.incr, top.xn, top_stub.vhdl
<hackerfoo> Nevermind. The code to read/write DCPs is under a different license.
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow