clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
gruetzkopf has joined #yosys
gruetzkopf has quit [Client Quit]
Vincenttl has quit [Quit: Connection closed for inactivity]
ZipCPU has quit [Ping timeout: 258 seconds]
ZipCPU has joined #yosys
promach has joined #yosys
<promach> For https://paste.ubuntu.com/p/sXPq7rwBn9/ , why am I having error : async_fifo.sv:125: ERROR: Invalid array access. ? I am using yosys-smtbmc by the way
<tpb> Title: Ubuntu Pastebin (at paste.ubuntu.com)
wavedrom has quit [Ping timeout: 250 seconds]
<promach> I have solved this
<ZipCPU> promach: Uses SymbiYosys instead--it's easier to work with and offers you more verification capability
<promach> yeah I am using sby
<promach> ZipCPU: I am now having problem resetting 2D array in systemverilog
<ZipCPU> Fun
<ZipCPU> Resetting an array .. is that even legal on any hardware?
<tpb> Title: Ubuntu Pastebin (at paste.ubuntu.com)
<promach> I seem to have solved the resetting problem
<promach> another error cam eup
<promach> came up
<promach> write_reset_synchronizer
<promach> this is a afifo
<promach> ZipCPU: I want to see how Jeff Bush implementation https://paste.ubuntu.com/p/npSJC57NdC/ fares in formal verification
<tpb> Title: Ubuntu Pastebin (at paste.ubuntu.com)
<promach> his logic is simple but I am not sure it is working well enough
* ZipCPU is struggling with internet problems right now and can't click on any links
<promach> ZipCPU: ok, 404 ?
<promach> I will figured this out slowly then, this code is not urgent at all
<ZipCPU> No, my router isn't allowing my laptop to connect to the internet without going through my desktop
<ZipCPU> It's ... complicated
<promach> strange wifi router, haha
citypw has joined #yosys
<ZipCPU> It's not that strange ... it worked just fine this morning
pie_ has quit [Quit: Leaving]
<promach> ZipCPU: successfully solved my sby setup issue
<promach> now I am going to focus on writing assert() and assume() , and cover() for the code
<ZipCPU> Looks like I've also succcesfully solved my router issue as well
wavedrom has joined #yosys
<ZipCPU> promach: You realize that only ASIC hardware will support that FIFO clearing function, right?
<promach> yup
<ZipCPU> FPGA Block RAMs can't do that
<promach> ok
<promach> I mean with reset, you could
citypw has quit [Ping timeout: 250 seconds]
citypw has joined #yosys
<sorear> asic memories can't do that either, you've just created a big array of flip-flops
<promach> logic [WIDTH - 1:0] fifo_data[0:NUM_ENTRIES - 1];
<promach> sorear : what would be your recommendation ?
<tpb> Title: An asynchronous FIFO implementation from the book "The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits" · GitHub (at gist.github.com)
<sorear> dunno, ->sleep
<promach> good, sleep is the best recommendation, haha
rohitksingh_work has joined #yosys
_whitelogger has joined #yosys
promach has quit [Read error: Connection reset by peer]
rohitksingh_work has quit [Ping timeout: 258 seconds]
rohitksingh_work has joined #yosys
wavedrom has quit [Ping timeout: 268 seconds]
promach has joined #yosys
<promach> For https://paste.ubuntu.com/p/BSZgbRStBY/ , why "mode cover" does not generate a vcd waveform for me ?
<tpb> Title: Ubuntu Pastebin (at paste.ubuntu.com)
<promach> use this paste instead https://paste.ubuntu.com/p/ZfPGWWSX3V/
<tpb> Title: Ubuntu Pastebin (at paste.ubuntu.com)
<promach> see line 399
<promach> line 130 and 161 as well
rohitksingh has joined #yosys
lineprinter_ has joined #yosys
Cerpin_ has joined #yosys
<Cerpin_> since i know a lot of the people who work on the open ice40 tools are here: is there a way to infer something like an open-drain pin on a microcontroller with the ice40 i/o tiles?
<Cerpin_> Err, with an internal pull-up, additionally
<Cerpin_> I think that's the main thing I don't know how to ensure gets used, actually...
<Cerpin_> (if there is a better channel for this, I apologize and can move my question over there)
Kamilion has quit [Remote host closed the connection]
Kamilion has joined #yosys
rohitksingh has quit [Ping timeout: 245 seconds]
rohitksingh has joined #yosys
<daveshah> Cerpin_: you should be able to do `assign q = x ? 1'bz : 1'b0;`
<daveshah> pullup can be enabled with `-pullup yes` in your pcf file
<daveshah> After set_io
<daveshah> This is a weak pullup, on up5k you can enable a strong pullup with `-pullup_resistor 3P3K|6P8K|10K`
<daveshah> as desired
vup2 has joined #yosys
gruetzkopf has joined #yosys
<tpb> Title: An asynchronous FIFO implementation from the book "The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits" · GitHub (at gist.github.com)
citypw has quit [Ping timeout: 250 seconds]
gruetzkopf is now known as gruetze
gruetze is now known as gruetzkopf
tmeissner has joined #yosys
emka has quit [Quit: Connection closed for inactivity]
leviathanch has joined #yosys
dys has quit [Ping timeout: 244 seconds]
rohitksingh has quit [Ping timeout: 272 seconds]
rohitksingh_work has quit [Read error: Connection reset by peer]
<tmeissner> Hi everyone
<tmeissner> Does anyone has experience with the bind command to bind a SV(A) module to a VHDL entity?
<tmeissner> The simple case works for me, but what should I do if I have a block defined inside the VHDL entity?
<tmeissner> I cannot access the signals inside this block becuase it's another scope inside the architecture :/
<ZipCPU> Morning, tmeissner!
<ZipCPU> Yes, I have experience with the bind command
<ZipCPU> You have a couple of options there. You can apply another bind to the sub-entity
<ZipCPU> You can also use the dot notation to set values in the top entity to match particular values in the subentity. These might only be used by the bind component.
<ZipCPU> That then gives you access to values within the subentity
<promach> bind command is supported now in yosys-smtbmc ??
<tmeissner> It's not a sub-entity. it's a block inside a VHDL block statement
<tmeissner> I'm going to have a espresso first, will look after the options after ;)
<tmeissner> But tahnks anyway :)
kernlbob has quit [Remote host closed the connection]
<ZipCPU> promach: Try SymbiYosys--it's much easier to use than yosys-smtbmc
<ZipCPU> SymbiYosys can be used to drive yosys-smtbmc, but not all engines use yosys-smtbmc. SymbiYosys will select and drive the correct engine for you
<promach> SymbiYosys supported bind command now ?
<ZipCPU> The commercial version has for quite some time now
<promach> not all people have the commercial one though :|
<ZipCPU> The commercial version has full SV and VHDL support, to include concurrent assertions
<promach> so the non-commercial, free version which is non-verific does not support bind , [*1:$] , |=> , |-> , etc.. ?
<promach> ZipCPU
<ZipCPU> :D
<promach> :(
celadon has joined #yosys
rohitksingh has joined #yosys
m4ssi has joined #yosys
pie_ has joined #yosys
m4ssi has quit [Ping timeout: 250 seconds]
citypw has joined #yosys
m4ssi has joined #yosys
kbeckmann has joined #yosys
m4ssi has quit [Ping timeout: 245 seconds]
m4ssi has joined #yosys
tmeissner has quit [Quit: Leaving]
rohitksingh has quit [Ping timeout: 245 seconds]
pie_ has quit [Ping timeout: 260 seconds]
leviathanch has quit [Remote host closed the connection]
wavedrom has joined #yosys
m4ssi has quit [Remote host closed the connection]
dys has joined #yosys
rohitksingh has joined #yosys
rohitksingh has quit [Ping timeout: 244 seconds]
citypw has quit [Remote host closed the connection]
wavedrom has quit [Ping timeout: 268 seconds]
sigwinch has joined #yosys
sigwinch_ has quit [Ping timeout: 250 seconds]
d0nker5 has joined #yosys
X-Scale has quit [Ping timeout: 245 seconds]
X-Scale` has joined #yosys
develonepi3 has quit [Ping timeout: 260 seconds]
pie_ has joined #yosys
pie_ has quit [Remote host closed the connection]
pie_ has joined #yosys
pie_ has quit [Ping timeout: 240 seconds]
voxadam has quit [Quit: WeeChat 2.3]
voxadam has joined #yosys
develonepi3 has joined #yosys
voxadam has quit [Quit: WeeChat 2.3]
powerbit has joined #yosys
TFKyle has quit [Ping timeout: 245 seconds]
TFKyle has joined #yosys
d0nker5 has quit [Ping timeout: 250 seconds]
tpb has quit [Remote host closed the connection]
tpb has joined #yosys
dys has quit [Ping timeout: 244 seconds]
Cerpin_ has quit [Read error: Connection reset by peer]