clifford changed the topic of #yosys to: Yosys Open SYnthesis Suite: http://www.clifford.at/yosys/ -- Channel Logs: https://irclog.whitequark.org/yosys
miek has quit [*.net *.split]
show has quit [*.net *.split]
sandeepkr has quit [*.net *.split]
kuldeep has quit [*.net *.split]
marex-cloud has quit [*.net *.split]
guan has quit [*.net *.split]
show has joined #yosys
sandeepkr has joined #yosys
miek has joined #yosys
kuldeep has joined #yosys
phantomcircuit has quit [Ping timeout: 248 seconds]
pointfree has quit [Ping timeout: 272 seconds]
pointfree has joined #yosys
phantomcircuit has joined #yosys
marex-cloud has joined #yosys
emeb has quit [Quit: Leaving.]
emeb_mac has joined #yosys
citypw has quit [Ping timeout: 244 seconds]
gsi__ has joined #yosys
SpaceCoaster has joined #yosys
gsi_ has quit [Ping timeout: 258 seconds]
sorear has quit [Ping timeout: 258 seconds]
zignig_bedtime is now known as zignig
SpaceCoaster has quit [Ping timeout: 272 seconds]
m4ssi has joined #yosys
m4ssi has quit [Ping timeout: 245 seconds]
sorear has joined #yosys
PyroPeter has quit [Ping timeout: 258 seconds]
PyroPeter has joined #yosys
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
futarisIRCcloud has joined #yosys
rohitksingh_work has joined #yosys
rohitksingh has joined #yosys
brandonz has quit [Quit: WeeChat 2.3]
rohitksingh_work has quit [Ping timeout: 245 seconds]
rohitksingh has quit [Ping timeout: 246 seconds]
rohitksingh has joined #yosys
dys has quit [Ping timeout: 252 seconds]
s_frit has quit [Remote host closed the connection]
s_frit has joined #yosys
proteusguy has quit [Ping timeout: 248 seconds]
proteusguy has joined #yosys
kraiskil has joined #yosys
ironsteel has joined #yosys
emeb_mac has quit [Ping timeout: 268 seconds]
jakobwenzel has quit [Remote host closed the connection]
jakobwenzel has joined #yosys
adjtm has quit [Ping timeout: 245 seconds]
rohitksingh_work has joined #yosys
m4ssi has joined #yosys
adjtm has joined #yosys
rohitksingh has quit [Remote host closed the connection]
rohitksingh_work has quit [Ping timeout: 245 seconds]
rohitksingh has joined #yosys
kraiskil has quit [Ping timeout: 268 seconds]
<pepijndevos_> ZirconiumX, I wonder if it'd be feasible to synthesize some of these things http://countercomplex.blogspot.com/2011/10/some-deep-analysis-of-one-line-music.html would be more fun than a blinky led.
<tpb> Title: countercomplex: Some deep analysis of one-line music programs. (at countercomplex.blogspot.com)
<ZirconiumX> pepijndevos_: Probably, as long as you don't invoke something like sin()
<pepijndevos_> Lots of 32 bit multiplications get thrown around though, so you'd have to be careful what you select indeed.
<ZirconiumX> I did look at the 74 series a bit more
<ZirconiumX> There were multiplier ICs
<ZirconiumX> The '261, '274 and '275, for example
<ZirconiumX> Or the 284/285
kraiskil has joined #yosys
<pepijndevos_> Are they still being made though?
<ZirconiumX> I doubt it :P
<pepijndevos_> I've been thinking if copy-pasting a booth multiplier from somewhere that uses our adder chips would be better than the xor mess that currently gets generated
<ZirconiumX> I'm reasonably sure the Booth multiplier is synchronous, not combinational
<ZirconiumX> You'd want a Wallace/Dadda tree
rohitksingh has quit [Ping timeout: 272 seconds]
<pepijndevos_> Ah, probably true. It's been a while since university made us write out logical algorithms.
proteusguy has quit [Ping timeout: 252 seconds]
Cerpin has joined #yosys
adjtm has quit [Remote host closed the connection]
proteusguy has joined #yosys
<pepijndevos_> I have a whole list here, but nothing so far that is interesting and small https://github.com/feilipu/avrfreertos/blob/master/GoldilocksAnalogue/music_formula_collection.txt
<tpb> Title: avrfreertos/music_formula_collection.txt at master · feilipu/avrfreertos · GitHub (at github.com)
rohitksingh has joined #yosys
anuejn has joined #yosys
rrika has quit [Ping timeout: 248 seconds]
rrika has joined #yosys
pie_ has quit [Ping timeout: 258 seconds]
alexhw has quit [Quit: https://quassel-irc.org - Chat comfortably. Anywhere.]
alexhw has joined #yosys
Laksen has joined #yosys
kraiskil has quit [Ping timeout: 246 seconds]
kraiskil has joined #yosys
<pepijndevos_> ZirconiumX, you know what would be usefull... if we could tell yosys to abuse spare pins for otherwise suboptimal arrangements. I currently have a situation where it makes 7 muxes and one and gate which could have been a mux with a fixed input. But I suppose that's just a distant dream...
<ZirconiumX> How big are the muxes?
<pepijndevos_> Uh, in what sense?
<ZirconiumX> If I'm truthful here I want to see what happens if you can source '150 16-input muxes
<ZirconiumX> Input count
<ZirconiumX> For example, that works with mux4s but not mux2s
<pepijndevos_> They are 4x1mux2
<ZirconiumX> Then you can't build an AND gate from it
<ZirconiumX> I think post-Yosys would need a unification pass, to see which muxes could be merged
<pepijndevos_> Hrm, then I don't understand what is going on. Never mind then. For one port it has a bunch of muxes and an AND gate connected to the same signals.
<ZirconiumX> Can you sketch out a diagram?
<pepijndevos_> Yosys can :) https://imgur.com/a/bmp4ONT The polarity signal on the left drives these muxes and the and gate which control level on the right
<tpb> Title: Imgur: The magic of the Internet (at imgur.com)
SpaceCoaster has joined #yosys
<pepijndevos_> Basically what I'm trying to do is make something that counts up and down efficiently.
<tnt> pepijndevos_: over how many bits ?
SpaceCoaster has quit [Quit: ZNC 1.6.5+deb1+deb9u1 - http://znc.in]
<tnt> pepijndevos_: I mean up/down counter is really just the adder chip with the A input wired to its output (registered) and the B input wired to a signal with LSB fixed to 1 and all the other bits being the counting direction.
<pepijndevos_> Right, buuut... ok so what I'm trying to do is make a LED fade in and out. So I have an inner PWM loop and an outer level loop. What I have now works, but I'm tweaking things to reduce chip count.
vonnieda has joined #yosys
<pepijndevos_> oh this looks promessing
<pepijndevos_> 9 chips, so sexy.
<pepijndevos_> The sad thing it uses a whole chip for *one* XOR gate, but that seems a fair price for so much awesomeness.
adjtm has joined #yosys
<tnt> does 9 chip also include the actual pwm ? or is it just outputing and N bit value ? (and how much is N) ?
m4ssi has quit [Remote host closed the connection]
<pepijndevos_> tnt, it does the actual PWM. So there are 4x4 adders that count up a 16 bit number that is stored in 2x8 flip-flops, then there are 2x4 comparators that compare the lower 7 to the upper 7 bits and an XOR on the MSB that switches the polarity of the PWM every other run
<pepijndevos_> So now updating the Kicad generator to handle the XOR chip, and I should be all set to make the most elaborate useless PCB ever.
maikmerten has joined #yosys
kraiskil has quit [Ping timeout: 272 seconds]
adjtm has quit [Quit: Leaving]
emeb has joined #yosys
kraiskil has joined #yosys
Laksen has quit [Quit: Leaving]
kraiskil has quit [Ping timeout: 258 seconds]
<tpb> Title: Generate KiCad netlists by pepijndevos · Pull Request #3 · ZirconiumX/74xx-liberty · GitHub (at github.com)
<ZirconiumX> pepijndevos_: That could probably be manually routed, I think :P
<pepijndevos_> It could be... you could also design it completely by hand.
rohitksingh has quit [Ping timeout: 244 seconds]
kraiskil has joined #yosys
<pepijndevos_> Honestly, I'm not sure the autorouter is good enough to route a RiscV...
<pepijndevos_> There is an issu on Skidl to support Eagle libraries and netlists, but no actual work towards it I think. Let alone Althium or Orcad.
<ZirconiumX> pepijndevos_: I think PCB of the gEDA suite has a topological router, but I don't know how good it is
<ZirconiumX> As for manual routing; I think that's perfectly fine
dys has joined #yosys
proteusguy has quit [Remote host closed the connection]
rohitksingh has joined #yosys
proteusguy has joined #yosys
pie_ has joined #yosys
fsasm has joined #yosys
adjtm has joined #yosys
AlexDaniel has joined #yosys
pie_ has quit [Remote host closed the connection]
pie_ has joined #yosys
pie_ has quit [Remote host closed the connection]
pie_ has joined #yosys
pie_ has quit [Remote host closed the connection]
pie_ has joined #yosys
rohitksingh has quit [Remote host closed the connection]
maikmerten has quit [Remote host closed the connection]
kraiskil has quit [Ping timeout: 252 seconds]
emeb_mac has joined #yosys
AlexDaniel has quit [Read error: Connection reset by peer]
AlexDaniel has joined #yosys
AlexDaniel has quit [Ping timeout: 248 seconds]
<benreynwar> Has any tried using removing irrelevant logic from a design before doing formal testing? I'm having the problem that writing out the VCD trace is the limiting factor. I thought maybe I could run yosys over the design first to strip out all the logic that can't possibly effect any assert or assume statements, and then run the formal testing on the stripped down version. Most of the logic (and state) in the design is in the
<benreynwar> data path, while what I'm really trying to test with the formal testing is the control logic. Is this a sensible idea, and any suggestions about how I should go about it?
<ZipCPU> Hello, benreynwar!
<daveshah> benreynwar: anything sufficiently remote from asserts or assumes should be removed by a call to `opt`, or perhaps even just `opt_clean -purge`, after prep
<benreynwar> ZipCPU: Hi again!
<benreynwar> daveshah: Thanks for the pointer. I'm going to go and try to understand what going on behind the symbiyosys scripts and then I expect I'll be back with more questions.
fsasm has quit [Ping timeout: 244 seconds]
vonnieda has quit [Quit: My MacBook Pro has gone to sleep. ZZZzzz…]
cr1901 has joined #yosys
vonnieda has joined #yosys
tpb has quit [Remote host closed the connection]
tpb has joined #yosys