flea86 has joined ##openfpga
dj_pi has joined ##openfpga
futarisIRCcloud has joined ##openfpga
unixb0y has quit [Ping timeout: 252 seconds]
unixb0y has joined ##openfpga
gsi__ has joined ##openfpga
gsi_ has quit [Ping timeout: 264 seconds]
dj_pi has quit [Ping timeout: 245 seconds]
dj_pi has joined ##openfpga
dj_pi has quit [Ping timeout: 258 seconds]
dj_pi has joined ##openfpga
jevinski_ has joined ##openfpga
jevinskie has quit [Ping timeout: 258 seconds]
rohitksingh_work has joined ##openfpga
dj_pi has quit [Quit: Leaving]
<xobs> That's interesting. Somehow I now have a Verilog file that nextpnr just chews on forever. Isn't it not supposed to do that?
<xobs> It gets stuck on "remaining arcs: 1485", and hasn't changed its output at all for about 500,000 iterations.
<tnt> xobs: yeah, that happens.
<tnt> try another seed
<xobs> tnt: interesting. it's the first time I've seen it. I thought it would rip up and try again if it found itself backed into a local minimum.
<xobs> Or at lesat error out.
Jybz has joined ##openfpga
<tnt> it's very rare, I only got it once
<xobs> Thanks, I'll poke it a bit.
Jybz has quit [Quit: Konversation terminated!]
s_frit has quit [Remote host closed the connection]
s_frit has joined ##openfpga
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
<azonenberg> there should be a timeout or something to prevent that
<azonenberg> infinite runtime should not be allowed
<tnt> How does one build the external chipdb exactly ?
OmniMancer has joined ##openfpga
mnr has quit [Ping timeout: 246 seconds]
emeb_mac has quit [Ping timeout: 245 seconds]
<tnt> Mmm, trying to connect TRIMx ports on HFOSC yield "terminate called after throwing an instance of 'std::out_of_range'" :/
m4ssi has joined ##openfpga
<daveshah> tnt: trim ports probably need to be dealt with in pack: https://github.com/YosysHQ/nextpnr/blob/master/ice40/pack.cc#L1059
<tnt> daveshah: Ah yeah indeed that fixed it, thanks.
ondrej3 has joined ##openfpga
ondrej3 has quit [Client Quit]
ondrej3 has joined ##openfpga
s_frit has quit [Remote host closed the connection]
s_frit has joined ##openfpga
Asu has joined ##openfpga
Asu has quit [Ping timeout: 252 seconds]
Asu has joined ##openfpga
rohitksingh_work has quit [Ping timeout: 246 seconds]
rohitksingh_work has joined ##openfpga
vup2 is now known as vup
rohitksingh_work has quit [Ping timeout: 268 seconds]
rohitksingh_work has joined ##openfpga
rohitksingh_work has quit [Client Quit]
felix___ is now known as felix_
nickjohnson has quit [Ping timeout: 252 seconds]
kc8apf has quit [Ping timeout: 250 seconds]
kc8apf has joined ##openfpga
m4ssi has quit [Quit: Leaving]
Xark has quit [Ping timeout: 246 seconds]
Xark has joined ##openfpga
azonenberg has quit [Ping timeout: 252 seconds]
azonenberg_work has quit [Ping timeout: 252 seconds]
nickjohnson has joined ##openfpga
m4ssi has joined ##openfpga
azonenberg has joined ##openfpga
azonenberg_work has joined ##openfpga
vonnieda has quit [Quit: My MacBook Pro has gone to sleep. ZZZzzz…]
m4ssi has quit [Ping timeout: 250 seconds]
kuldeep_ is now known as kuldeep
vonnieda has joined ##openfpga
carl0s has joined ##openfpga
indy has quit [Ping timeout: 248 seconds]
IanMalcolm has quit [Quit: ZNC 1.7.3 - https://znc.in]
emeb has joined ##openfpga
IanMalcolm has joined ##openfpga
IanMalcolm has quit [Client Quit]
carl0s has quit [Quit: Page closed]
IanMalcolm has joined ##openfpga
carl0s has joined ##openfpga
zem has quit [Ping timeout: 258 seconds]
zem has joined ##openfpga
flea86 has quit [Quit: Goodbye and thanks for all the dirty sand ;-)]
zem has quit [Read error: Connection reset by peer]
TAL has quit [Ping timeout: 252 seconds]
TAL has joined ##openfpga
zem has joined ##openfpga
OmniMancer has quit [Quit: Leaving.]
<emeb> Interesting experiment in retro - built minimal Z80 system on a up5k w/ just CPU, 2kB RAM, 2kB ROM and 8-bit I/O port. Uses ~44% of FPGA
<emeb> vs ~23% for equivalent 6502 system.
<tnt> Not sure if this says anything about Z80 / 6502 ... or whoever wrote the verilog :p
<emeb> yeah - lots of room for "interpretation"
<emeb> but similar minimal picorv32 system is ~32%
<emeb> given z80 vs picorv32 I know which I'd choose.
<tnt> hehe :)
<tnt> what fmax does z80 reach ?
<emeb> 16MHz
<emeb> picorv32 is 24MHz
<tnt> I actually run a picrorv32 @ 30MHz :)
<emeb> does nextpnr report that as meeting timing or do you "overclock"?
<tnt> No, that's what nextpnr reports.
<emeb> Interesting. Wonder what the difference is.
<emeb> I'm using the picorv32 that was in your playground repo
<tnt> emeb: Info: Max frequency for clock 'clk_24m_$glb_clk': 30.49 MHz (PASS at 25.00 MHz)
<tnt> That's seed 0 with HeAP placer.
<emeb> Info: Max frequency for clock 'clk_24': 26.14 MHz (PASS at 24.00 MHz)
<emeb> also w/ heap
<emeb> and whatever the default seed is
<tnt> and seed 0 ? Oh, that's a build with BOARD=bitsy also
<emeb> hmm... don't know about BOARD=
<emeb> I'm just targeting my own board here.
jevinski_ has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
<tnt> emeb: weird ... I mean, I use a different seed than default but other than that it's just the 'usb-test' branch of my repo and a recent nextpnr
<emeb> Yeah, odd. Well, timing depends on so many things.
<tnt> it might just be that for your particular IO placement that seed is bad ...
<emeb> Yeah. I tried a few different ones and the result varied from 23-26MHz.
<tnt> emeb: are you using the latest code from my repo ? do you have your changes for your board published somewhere ?
<emeb> tnt: This is a dodgy fork I did of your project a few weeks ago. No idea how far it's deviated from what you've got now.
<emeb> Probably worth looking more closely. And it's not published anywhere ATM.
<emeb> But my toolchain was rebuilt last week, so that's not too old.
lexano has quit [Ping timeout: 244 seconds]
lexano has joined ##openfpga
genii has joined ##openfpga
m_w has joined ##openfpga
Jybz has joined ##openfpga
Laksen has joined ##openfpga
lexano has quit [Ping timeout: 255 seconds]
lexano has joined ##openfpga
Jybz has quit [Quit: Konversation terminated!]
Zorix has quit [Read error: Connection reset by peer]
_whitelogger_ has joined ##openfpga
cr1901_web has joined ##openfpga
Zorix has joined ##openfpga
emeb_mac has joined ##openfpga
<adamgreig> anyone have any ideas why my standard spi config flash is updating SDO on the clock rising edge, instead of the falling edge like the datasheet suggests? i'm sending it SDI updated on falling edge and it's evidently sampling on the rising edge and decoding the command OK
<tnt> adamgreig: what model flash ?
<adamgreig> this is a winbond W25Q80DV
<tnt> and what makes you believe it does that ?
<Laksen> How do you know it's updating at rising edges?
<adamgreig> the saleae decoder gets the correct response EF 40 14
<adamgreig> my scope decoder gets the same as my spi master, F7 A0 0A, which you get if your sampling is essentially off by one
<adamgreig> but it sure seems to be changing SDO on the clock rising edge
<tnt> it sure does
<tnt> which is ... unexpected
<adamgreig> yea...
<adamgreig> i thought it could do spi 0,0 or 1,1 but not this
<Laksen> What do you think is going on at the second division?
<Laksen> Just a bump of 0.25 volts out of nowhere? :)
<adamgreig> the flash chip keeps SDO high-impedance until it receives a valid command
<adamgreig> my scope only has two channels so you can't see SDI
<adamgreig> but i'm sending 0x9F (read JEDEC ID)
<adamgreig> once it receives that it begins driving SDI high to send 0xEF
<adamgreig> SDO*
<Laksen> Alright yeah that doesn't seem right
<Laksen> Do you deassert the chipselect at any time?
<adamgreig> nope
<adamgreig> it's asserted, i run the transaction, it gets deasserted
<tnt> I never used them in mode 3.
<adamgreig> i get the same behaviour in mode 0 too
<tnt> ah :/
<adamgreig> yea :/
<tnt> adamgreig: did you try to issue a flash reset ?
<adamgreig> yea
gnufan_home has quit [Quit: Leaving.]
<emeb> Lotta ringing on those clk edges - any chance it's misclocking on the ringing?
Zorix has quit [Quit: Leaving]
<adamgreig> maybe but i think the ringing is more down to my probing
<adamgreig> and it's definitely receiving all the correct instructions from me, since it sends the correct thing in response to several different read_id type commands
<adamgreig> so i think it must be clocking correctly
Zorix has joined ##openfpga
vonnieda has quit [Quit: My MacBook Pro has gone to sleep. ZZZzzz…]
Asu has quit [Ping timeout: 244 seconds]
Asu has joined ##openfpga
Laksen has quit [Quit: Leaving]
<emeb> Hmm... interesting that if one uses the PLL_2F that it uses up an input IOB
<adamgreig> if you use the pll at all it uses the input iob, aiui
carl0s has quit [Quit: Page closed]
<emeb> yep
<emeb> Uses both 35 and 37 on the up5k_sg48
lexano has quit [Ping timeout: 246 seconds]
Asu has quit [Quit: Konversation terminated!]
lexano has joined ##openfpga
Bob_Dole has quit [Read error: Connection reset by peer]
_whitelogger has joined ##openfpga
emeb has quit [Quit: Leaving.]
Bob_Dole has joined ##openfpga