perillamint_ has joined #symbiflow
perillamint has quit [Ping timeout: 264 seconds]
_whitelogger has joined #symbiflow
_whitelogger_ has joined #symbiflow
_whitelogger_ has joined #symbiflow
_whitelogger__ has joined #symbiflow
_whitelogger__ has joined #symbiflow
_whitelogger__ has joined #symbiflow
_whitelogger___ has joined #symbiflow
_whitelogger___ has joined #symbiflow
_whitelogger___ has joined #symbiflow
_whitelogger___ has joined #symbiflow
_whitelogger____ has joined #symbiflow
_whitelogger____ has joined #symbiflow
_whitelogger____ has joined #symbiflow
_whitelogger____ has joined #symbiflow
_whitelogger____ has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has joined #symbiflow
kraiskil has joined #symbiflow
<kraiskil> Is there a tutorial for end-users on how to get a 'hello world'-led blinker project done?
<kraiskil> Is there a tutorial for end-users on how to get a 'hello world'-led blinker project done?
<kraiskil> Is there a tutorial for end-users on how to get a 'hello world'-led blinker project done?
<kraiskil> Is there a tutorial for end-users on how to get a 'hello world'-led blinker project done?
<kraiskil> Is there a tutorial for end-users on how to get a 'hello world'-led blinker project done?
<daveshah> kraiskil: what FPGA family?
<daveshah> kraiskil: what FPGA family?
<daveshah> kraiskil: what FPGA family?
<daveshah> kraiskil: what FPGA family?
<daveshah> kraiskil: what FPGA family?
<digshadow> GuzTech: have you looked at the existing iob fuzzer?
<digshadow> GuzTech: have you looked at the existing iob fuzzer?
<digshadow> GuzTech: have you looked at the existing iob fuzzer?
<digshadow> GuzTech: have you looked at the existing iob fuzzer?
<digshadow> GuzTech: have you looked at the existing iob fuzzer?
<digshadow> Iirc there were some issues once multiple attributes are changed
<digshadow> Iirc there were some issues once multiple attributes are changed
<digshadow> Iirc there were some issues once multiple attributes are changed
<digshadow> Iirc there were some issues once multiple attributes are changed
<digshadow> Iirc there were some issues once multiple attributes are changed
<kraiskil> daveshah, Xilinx - I have a zybo
<kraiskil> daveshah, Xilinx - I have a zybo
<kraiskil> daveshah, Xilinx - I have a zybo
<kraiskil> daveshah, Xilinx - I have a zybo
<kraiskil> daveshah, Xilinx - I have a zybo
<kraiskil> why, are the flows that different?
<kraiskil> why, are the flows that different?
<kraiskil> why, are the flows that different?
<kraiskil> why, are the flows that different?
<kraiskil> why, are the flows that different?
<daveshah> Yes, in particular the current Xilinx flow is very experimental (I don't know much about it though)
<daveshah> Yes, in particular the current Xilinx flow is very experimental (I don't know much about it though)
<daveshah> Yes, in particular the current Xilinx flow is very experimental (I don't know much about it though)
<daveshah> Yes, in particular the current Xilinx flow is very experimental (I don't know much about it though)
<daveshah> Yes, in particular the current Xilinx flow is very experimental (I don't know much about it though)
<digshadow> I like the phrase experimental
<digshadow> I like the phrase experimental
<digshadow> I like the phrase experimental
<digshadow> I like the phrase experimental
<digshadow> I like the phrase experimental
<noopwafel> I assume I just pick the default options in the WebPACK installer? i.e. no DSP/SDK
<noopwafel> I assume I just pick the default options in the WebPACK installer? i.e. no DSP/SDK
<noopwafel> I assume I just pick the default options in the WebPACK installer? i.e. no DSP/SDK
<noopwafel> I assume I just pick the default options in the WebPACK installer? i.e. no DSP/SDK
<noopwafel> I assume I just pick the default options in the WebPACK installer? i.e. no DSP/SDK
<noopwafel> trying to clear up enough disk space reveals I have an existing full install of 2017.2, so that solves that
<noopwafel> trying to clear up enough disk space reveals I have an existing full install of 2017.2, so that solves that
<noopwafel> trying to clear up enough disk space reveals I have an existing full install of 2017.2, so that solves that
<noopwafel> trying to clear up enough disk space reveals I have an existing full install of 2017.2, so that solves that
<noopwafel> trying to clear up enough disk space reveals I have an existing full install of 2017.2, so that solves that
<GuzTech> digshadow: I did, but it seemed a bit confusing to me.
<GuzTech> digshadow: I did, but it seemed a bit confusing to me.
<GuzTech> digshadow: I did, but it seemed a bit confusing to me.
<GuzTech> digshadow: I did, but it seemed a bit confusing to me.
<GuzTech> digshadow: I did, but it seemed a bit confusing to me.
<GuzTech> Why does top.v use a 256-bit shift register connected to an IOB that has no output connected? Even though the KEEP attribute is there, it is still optimized away.
<GuzTech> Why does top.v use a 256-bit shift register connected to an IOB that has no output connected? Even though the KEEP attribute is there, it is still optimized away.
<GuzTech> Why does top.v use a 256-bit shift register connected to an IOB that has no output connected? Even though the KEEP attribute is there, it is still optimized away.
<GuzTech> Why does top.v use a 256-bit shift register connected to an IOB that has no output connected? Even though the KEEP attribute is there, it is still optimized away.
<GuzTech> Why does top.v use a 256-bit shift register connected to an IOB that has no output connected? Even though the KEEP attribute is there, it is still optimized away.
<GuzTech> My toplevel file just instantiates 1 OBUFT with a specific drive strength. No clock, no strobe, no shift register, just connect pin di to do using an OBUFT, and that gets me some diffs (strength 4, 8, 12, and 16 for LVCMOS33).
<GuzTech> My toplevel file just instantiates 1 OBUFT with a specific drive strength. No clock, no strobe, no shift register, just connect pin di to do using an OBUFT, and that gets me some diffs (strength 4, 8, 12, and 16 for LVCMOS33).
<GuzTech> My toplevel file just instantiates 1 OBUFT with a specific drive strength. No clock, no strobe, no shift register, just connect pin di to do using an OBUFT, and that gets me some diffs (strength 4, 8, 12, and 16 for LVCMOS33).
<GuzTech> My toplevel file just instantiates 1 OBUFT with a specific drive strength. No clock, no strobe, no shift register, just connect pin di to do using an OBUFT, and that gets me some diffs (strength 4, 8, 12, and 16 for LVCMOS33).
<GuzTech> My toplevel file just instantiates 1 OBUFT with a specific drive strength. No clock, no strobe, no shift register, just connect pin di to do using an OBUFT, and that gets me some diffs (strength 4, 8, 12, and 16 for LVCMOS33).
<GuzTech> The IOB fuzzer seems incomplete, so I looked at the lutinit one which should be simple enough, and after diffing, segmaker is used but I couldn't quite figure out how to get that working or what it does exactly.
<GuzTech> The IOB fuzzer seems incomplete, so I looked at the lutinit one which should be simple enough, and after diffing, segmaker is used but I couldn't quite figure out how to get that working or what it does exactly.
<GuzTech> The IOB fuzzer seems incomplete, so I looked at the lutinit one which should be simple enough, and after diffing, segmaker is used but I couldn't quite figure out how to get that working or what it does exactly.
<GuzTech> The IOB fuzzer seems incomplete, so I looked at the lutinit one which should be simple enough, and after diffing, segmaker is used but I couldn't quite figure out how to get that working or what it does exactly.
<GuzTech> The IOB fuzzer seems incomplete, so I looked at the lutinit one which should be simple enough, and after diffing, segmaker is used but I couldn't quite figure out how to get that working or what it does exactly.
<GuzTech> Then again, I didn't spend that much time on it (begin new year's eve and all).
<GuzTech> Then again, I didn't spend that much time on it (begin new year's eve and all).
<GuzTech> Then again, I didn't spend that much time on it (begin new year's eve and all).
<GuzTech> Then again, I didn't spend that much time on it (begin new year's eve and all).
<GuzTech> Then again, I didn't spend that much time on it (begin new year's eve and all).
<GuzTech> Happy new year peeps!
<GuzTech> Happy new year peeps!
<GuzTech> Happy new year peeps!
<GuzTech> Happy new year peeps!
<GuzTech> Happy new year peeps!
kraiskil_ has joined #symbiflow
kraiskil_ has joined #symbiflow
kraiskil_ has joined #symbiflow
kraiskil_ has joined #symbiflow
kraiskil_ has joined #symbiflow
kraiskil has quit [Ping timeout: 250 seconds]
kraiskil has quit [Ping timeout: 250 seconds]
kraiskil has quit [Ping timeout: 250 seconds]
kraiskil has quit [Ping timeout: 250 seconds]
kraiskil has quit [Ping timeout: 250 seconds]
<noopwafel> digshadow: so artix7 is GTP (explains why no GTX) -> the primitives are GTP_CHANNEL_n. they look fun. am going to need more coffee.
<noopwafel> digshadow: so artix7 is GTP (explains why no GTX) -> the primitives are GTP_CHANNEL_n. they look fun. am going to need more coffee.
<noopwafel> digshadow: so artix7 is GTP (explains why no GTX) -> the primitives are GTP_CHANNEL_n. they look fun. am going to need more coffee.
<noopwafel> digshadow: so artix7 is GTP (explains why no GTX) -> the primitives are GTP_CHANNEL_n. they look fun. am going to need more coffee.
<noopwafel> digshadow: so artix7 is GTP (explains why no GTX) -> the primitives are GTP_CHANNEL_n. they look fun. am going to need more coffee.
<digshadow> noopwafel: yeah that sounds about right...I think I mentioned I don't remember the details of the IO options on 7 series
<digshadow> noopwafel: yeah that sounds about right...I think I mentioned I don't remember the details of the IO options on 7 series
<digshadow> noopwafel: yeah that sounds about right...I think I mentioned I don't remember the details of the IO options on 7 series
<digshadow> noopwafel: yeah that sounds about right...I think I mentioned I don't remember the details of the IO options on 7 series
<digshadow> noopwafel: yeah that sounds about right...I think I mentioned I don't remember the details of the IO options on 7 series
<digshadow> noopwafel: let me know if you need help to get started
<digshadow> noopwafel: let me know if you need help to get started
<digshadow> noopwafel: let me know if you need help to get started
<digshadow> noopwafel: let me know if you need help to get started
<digshadow> noopwafel: let me know if you need help to get started
<digshadow> White is whitelogger multiplying
<digshadow> White is whitelogger multiplying
<digshadow> White is whitelogger multiplying
<digshadow> White is whitelogger multiplying
<digshadow> White is whitelogger multiplying
<digshadow> why is
<digshadow> why is
<digshadow> why is
<digshadow> why is
<digshadow> why is
perillamint_ has quit [Ping timeout: 250 seconds]
perillamint_ has quit [Ping timeout: 250 seconds]
perillamint_ has quit [Ping timeout: 250 seconds]
perillamint_ has quit [Ping timeout: 250 seconds]
perillamint_ has quit [Ping timeout: 250 seconds]
dbe_ has joined #symbiflow
dbe_ has joined #symbiflow
dbe_ has joined #symbiflow
dbe_ has joined #symbiflow
dbe_ has joined #symbiflow
kraiskil_ has quit [Ping timeout: 250 seconds]
kraiskil_ has quit [Ping timeout: 250 seconds]
kraiskil_ has quit [Ping timeout: 250 seconds]
kraiskil_ has quit [Ping timeout: 250 seconds]
kraiskil_ has quit [Ping timeout: 250 seconds]
<dbe_> Anyone alive?
<dbe_> Anyone alive?
<dbe_> Anyone alive?
<dbe_> Anyone alive?
<dbe_> Anyone alive?
<sorear> it’s new year’s day
<sorear> it’s new year’s day
<sorear> it’s new year’s day
<sorear> it’s new year’s day
<sorear> it’s new year’s day
<digshadow> dbe_: going to run the turing test on us?
<digshadow> dbe_: going to run the turing test on us?
<digshadow> dbe_: going to run the turing test on us?
<digshadow> dbe_: going to run the turing test on us?
<digshadow> dbe_: going to run the turing test on us?
<dbe_> hi guys, I was just curious if someone is here. I saw some very interesting talks on the 35c3 and would like to help with the project.
<dbe_> hi guys, I was just curious if someone is here. I saw some very interesting talks on the 35c3 and would like to help with the project.
<dbe_> hi guys, I was just curious if someone is here. I saw some very interesting talks on the 35c3 and would like to help with the project.
<dbe_> hi guys, I was just curious if someone is here. I saw some very interesting talks on the 35c3 and would like to help with the project.
<dbe_> hi guys, I was just curious if someone is here. I saw some very interesting talks on the 35c3 and would like to help with the project.
<digshadow> dbe_: did a specific part of the project catch your interest?
<digshadow> dbe_: did a specific part of the project catch your interest?
<digshadow> dbe_: did a specific part of the project catch your interest?
<digshadow> dbe_: did a specific part of the project catch your interest?
<digshadow> dbe_: did a specific part of the project catch your interest?
<digshadow> noopwafel: you are probably past this now, but default installation is fine
<digshadow> noopwafel: you are probably past this now, but default installation is fine
<digshadow> noopwafel: you are probably past this now, but default installation is fine
<digshadow> noopwafel: you are probably past this now, but default installation is fine
<digshadow> noopwafel: you are probably past this now, but default installation is fine
<dbe_> I would like to work on the bitstreams for altera devices (as a distant goal), but should probably begin with writing tests, documentation and some light python coding
<dbe_> I would like to work on the bitstreams for altera devices (as a distant goal), but should probably begin with writing tests, documentation and some light python coding
<dbe_> I would like to work on the bitstreams for altera devices (as a distant goal), but should probably begin with writing tests, documentation and some light python coding
<dbe_> I would like to work on the bitstreams for altera devices (as a distant goal), but should probably begin with writing tests, documentation and some light python coding
<dbe_> I would like to work on the bitstreams for altera devices (as a distant goal), but should probably begin with writing tests, documentation and some light python coding
<digshadow> dbe_: do you have a specific interest in altera? Have you used their devices a lot?
<digshadow> dbe_: do you have a specific interest in altera? Have you used their devices a lot?
<digshadow> dbe_: do you have a specific interest in altera? Have you used their devices a lot?
<digshadow> dbe_: do you have a specific interest in altera? Have you used their devices a lot?
<digshadow> dbe_: do you have a specific interest in altera? Have you used their devices a lot?
<dbe_> I've dabbled some with altera CPLDs and FPGAs (all low cost ones) and have access to some altera evaluation boards. Well and I liked the Quartus Software much more than the Xilinx-counterpart
<dbe_> I've dabbled some with altera CPLDs and FPGAs (all low cost ones) and have access to some altera evaluation boards. Well and I liked the Quartus Software much more than the Xilinx-counterpart
<dbe_> I've dabbled some with altera CPLDs and FPGAs (all low cost ones) and have access to some altera evaluation boards. Well and I liked the Quartus Software much more than the Xilinx-counterpart
<dbe_> I've dabbled some with altera CPLDs and FPGAs (all low cost ones) and have access to some altera evaluation boards. Well and I liked the Quartus Software much more than the Xilinx-counterpart
<dbe_> I've dabbled some with altera CPLDs and FPGAs (all low cost ones) and have access to some altera evaluation boards. Well and I liked the Quartus Software much more than the Xilinx-counterpart
<digshadow> mithro: we have a mailing list right? trying to find it
<digshadow> mithro: we have a mailing list right? trying to find it
<digshadow> mithro: we have a mailing list right? trying to find it
<digshadow> mithro: we have a mailing list right? trying to find it
<digshadow> mithro: we have a mailing list right? trying to find it
<mithro> Yes
<mithro> Yes
<mithro> Yes
<mithro> Yes
<mithro> Yes
<digshadow> going to e-mail MMCM guy, I have a thought on one of the behaviors we saw
<digshadow> going to e-mail MMCM guy, I have a thought on one of the behaviors we saw
<digshadow> going to e-mail MMCM guy, I have a thought on one of the behaviors we saw
<digshadow> going to e-mail MMCM guy, I have a thought on one of the behaviors we saw
<digshadow> going to e-mail MMCM guy, I have a thought on one of the behaviors we saw
<tpb> Title: lists.librecores.org Mailing Lists (at lists.librecores.org)
<tpb> Title: lists.librecores.org Mailing Lists (at lists.librecores.org)
<tpb> Title: lists.librecores.org Mailing Lists (at lists.librecores.org)
<tpb> Title: lists.librecores.org Mailing Lists (at lists.librecores.org)
<tpb> Title: lists.librecores.org Mailing Lists (at lists.librecores.org)
<digshadow> ah? there is a prjxray specific list
<digshadow> ah? there is a prjxray specific list
<digshadow> ah? there is a prjxray specific list
<digshadow> ah? there is a prjxray specific list
<digshadow> ah? there is a prjxray specific list
<digshadow> dbe_: there is an altera FPGA project by rqou. I'm not sure the current state of it though: https://github.com/rqou/project-chibi
<digshadow> dbe_: there is an altera FPGA project by rqou. I'm not sure the current state of it though: https://github.com/rqou/project-chibi
<digshadow> dbe_: there is an altera FPGA project by rqou. I'm not sure the current state of it though: https://github.com/rqou/project-chibi
<digshadow> dbe_: there is an altera FPGA project by rqou. I'm not sure the current state of it though: https://github.com/rqou/project-chibi
<digshadow> dbe_: there is an altera FPGA project by rqou. I'm not sure the current state of it though: https://github.com/rqou/project-chibi
<tpb> Title: GitHub - rqou/project-chibi (at github.com)
<tpb> Title: GitHub - rqou/project-chibi (at github.com)
<tpb> Title: GitHub - rqou/project-chibi (at github.com)
<tpb> Title: GitHub - rqou/project-chibi (at github.com)
<tpb> Title: GitHub - rqou/project-chibi (at github.com)
<digshadow> or CPLD maybe?
<digshadow> or CPLD maybe?
<digshadow> or CPLD maybe?
<digshadow> or CPLD maybe?
<digshadow> or CPLD maybe?
<digshadow> yeah Altera Max V CPLD
<digshadow> yeah Altera Max V CPLD
<digshadow> yeah Altera Max V CPLD
<digshadow> yeah Altera Max V CPLD
<digshadow> yeah Altera Max V CPLD
inquisitiv3 has joined #symbiflow
inquisitiv3 has joined #symbiflow
inquisitiv3 has joined #symbiflow
inquisitiv3 has joined #symbiflow
inquisitiv3 has joined #symbiflow
<digshadow> dbe_: what Xilinx software did you use? ISE or Vivado? IMHO Vivdado is much nicer than ISE
<digshadow> dbe_: what Xilinx software did you use? ISE or Vivado? IMHO Vivdado is much nicer than ISE
<digshadow> dbe_: what Xilinx software did you use? ISE or Vivado? IMHO Vivdado is much nicer than ISE
<digshadow> dbe_: what Xilinx software did you use? ISE or Vivado? IMHO Vivdado is much nicer than ISE
<digshadow> dbe_: what Xilinx software did you use? ISE or Vivado? IMHO Vivdado is much nicer than ISE
<dbe_> digshadow: I think I used both. I switched to a more powerful PC so maybe it's an non-issue now. And as I said, I'm not narrowly interested in only altera related work, especially in the beginning.
<dbe_> digshadow: I think I used both. I switched to a more powerful PC so maybe it's an non-issue now. And as I said, I'm not narrowly interested in only altera related work, especially in the beginning.
<dbe_> digshadow: I think I used both. I switched to a more powerful PC so maybe it's an non-issue now. And as I said, I'm not narrowly interested in only altera related work, especially in the beginning.
<dbe_> digshadow: I think I used both. I switched to a more powerful PC so maybe it's an non-issue now. And as I said, I'm not narrowly interested in only altera related work, especially in the beginning.
<dbe_> digshadow: I think I used both. I switched to a more powerful PC so maybe it's an non-issue now. And as I said, I'm not narrowly interested in only altera related work, especially in the beginning.
<digshadow> dbe_: I would encourage you to work with an existing project if that also interests you. We are still trying to get critical mass on a lot of these parts
<digshadow> dbe_: I would encourage you to work with an existing project if that also interests you. We are still trying to get critical mass on a lot of these parts
<digshadow> dbe_: I would encourage you to work with an existing project if that also interests you. We are still trying to get critical mass on a lot of these parts
<digshadow> dbe_: I would encourage you to work with an existing project if that also interests you. We are still trying to get critical mass on a lot of these parts
<digshadow> dbe_: I would encourage you to work with an existing project if that also interests you. We are still trying to get critical mass on a lot of these parts
<digshadow> Good options would be Xilinx 7 series (contact me or mithro) or Lattice ECP5 work (contact daveshah)
<digshadow> Good options would be Xilinx 7 series (contact me or mithro) or Lattice ECP5 work (contact daveshah)
<digshadow> Good options would be Xilinx 7 series (contact me or mithro) or Lattice ECP5 work (contact daveshah)
<digshadow> Good options would be Xilinx 7 series (contact me or mithro) or Lattice ECP5 work (contact daveshah)
<digshadow> Good options would be Xilinx 7 series (contact me or mithro) or Lattice ECP5 work (contact daveshah)
<dbe_> oh, what about the 2070(?) project? I didn't find it on the website but mithro told us, that that project would be a good startingpoint for beginners
<dbe_> oh, what about the 2070(?) project? I didn't find it on the website but mithro told us, that that project would be a good startingpoint for beginners
<dbe_> oh, what about the 2070(?) project? I didn't find it on the website but mithro told us, that that project would be a good startingpoint for beginners
<dbe_> oh, what about the 2070(?) project? I didn't find it on the website but mithro told us, that that project would be a good startingpoint for beginners
<dbe_> oh, what about the 2070(?) project? I didn't find it on the website but mithro told us, that that project would be a good startingpoint for beginners
<digshadow> These both have significant momentum behind them...not just at the bitstream level, but also related synthesis and PnR
<digshadow> These both have significant momentum behind them...not just at the bitstream level, but also related synthesis and PnR
<digshadow> These both have significant momentum behind them...not just at the bitstream level, but also related synthesis and PnR
<digshadow> These both have significant momentum behind them...not just at the bitstream level, but also related synthesis and PnR
<digshadow> These both have significant momentum behind them...not just at the bitstream level, but also related synthesis and PnR
<mithro> dbe_: You mean the xc2064?
<mithro> dbe_: You mean the xc2064?
<mithro> dbe_: You mean the xc2064?
<mithro> dbe_: You mean the xc2064?
<mithro> dbe_: You mean the xc2064?
<dbe_> or yeah
<dbe_> or yeah
<dbe_> or yeah
<dbe_> or yeah
<dbe_> or yeah
<dbe_> oh
<dbe_> oh
<dbe_> oh
<dbe_> oh
<dbe_> oh
<digshadow> dbe_: oh yeah, project 2064. Thats sort of a hobby project of mine (and Ken S as well)
<digshadow> dbe_: oh yeah, project 2064. Thats sort of a hobby project of mine (and Ken S as well)
<digshadow> dbe_: oh yeah, project 2064. Thats sort of a hobby project of mine (and Ken S as well)
<digshadow> dbe_: oh yeah, project 2064. Thats sort of a hobby project of mine (and Ken S as well)
<digshadow> dbe_: oh yeah, project 2064. Thats sort of a hobby project of mine (and Ken S as well)
<digshadow> We have a lot of docs from Ken now that need to be crunched on
<digshadow> We have a lot of docs from Ken now that need to be crunched on
<digshadow> We have a lot of docs from Ken now that need to be crunched on
<digshadow> We have a lot of docs from Ken now that need to be crunched on
<digshadow> We have a lot of docs from Ken now that need to be crunched on
<digshadow> Although less directly applicable than the others, it would be a good demo project to help people understand the process
<digshadow> Although less directly applicable than the others, it would be a good demo project to help people understand the process
<digshadow> Although less directly applicable than the others, it would be a good demo project to help people understand the process
<digshadow> Although less directly applicable than the others, it would be a good demo project to help people understand the process
<digshadow> Although less directly applicable than the others, it would be a good demo project to help people understand the process
<digshadow> I also know someone interested in understanding some apple 2 peripherals, so there is a cool factor there
<digshadow> I also know someone interested in understanding some apple 2 peripherals, so there is a cool factor there
<digshadow> I also know someone interested in understanding some apple 2 peripherals, so there is a cool factor there
<digshadow> I also know someone interested in understanding some apple 2 peripherals, so there is a cool factor there
<digshadow> I also know someone interested in understanding some apple 2 peripherals, so there is a cool factor there
<dbe_> digshadow: what are the requirements to work on the docs from ken?
<dbe_> digshadow: what are the requirements to work on the docs from ken?
<dbe_> digshadow: what are the requirements to work on the docs from ken?
<dbe_> digshadow: what are the requirements to work on the docs from ken?
<dbe_> digshadow: what are the requirements to work on the docs from ken?
<digshadow> (or maybe amiga...need to check)
<digshadow> (or maybe amiga...need to check)
<digshadow> (or maybe amiga...need to check)
<digshadow> (or maybe amiga...need to check)
<digshadow> (or maybe amiga...need to check)
<digshadow> dbe_: I'd like to see a bitstream disassembler, ideally bitstream to verilog
<digshadow> dbe_: I'd like to see a bitstream disassembler, ideally bitstream to verilog
<digshadow> dbe_: I'd like to see a bitstream disassembler, ideally bitstream to verilog
<digshadow> dbe_: I'd like to see a bitstream disassembler, ideally bitstream to verilog
<digshadow> dbe_: I'd like to see a bitstream disassembler, ideally bitstream to verilog
<digshadow> mithro: are those docs public?
<digshadow> mithro: are those docs public?
<digshadow> mithro: are those docs public?
<digshadow> mithro: are those docs public?
<digshadow> mithro: are those docs public?
<digshadow> I have a copy, I can ask ken if I can give them out
<digshadow> I have a copy, I can ask ken if I can give them out
<digshadow> I have a copy, I can ask ken if I can give them out
<digshadow> I have a copy, I can ask ken if I can give them out
<digshadow> I have a copy, I can ask ken if I can give them out
<mithro> dbe_: The bitstream is pretty well understood
<mithro> dbe_: The bitstream is pretty well understood
<mithro> dbe_: The bitstream is pretty well understood
<mithro> dbe_: The bitstream is pretty well understood
<mithro> dbe_: The bitstream is pretty well understood
<inquisitiv3> Is Symbiflow a temporary name, or are it going to be kept?
<inquisitiv3> Is Symbiflow a temporary name, or are it going to be kept?
<inquisitiv3> Is Symbiflow a temporary name, or are it going to be kept?
<inquisitiv3> Is Symbiflow a temporary name, or are it going to be kept?
<inquisitiv3> Is Symbiflow a temporary name, or are it going to be kept?
<tpb> Title: GitHub - shirriff/xc2064: Reverse engineering the XC2064 FPGA (at github.com)
<tpb> Title: GitHub - shirriff/xc2064: Reverse engineering the XC2064 FPGA (at github.com)
<tpb> Title: GitHub - shirriff/xc2064: Reverse engineering the XC2064 FPGA (at github.com)
<tpb> Title: GitHub - shirriff/xc2064: Reverse engineering the XC2064 FPGA (at github.com)
<tpb> Title: GitHub - shirriff/xc2064: Reverse engineering the XC2064 FPGA (at github.com)
<mithro> inquisitiv3: we might do a rebrand sometime this year depending on a couple of things - why?
<mithro> inquisitiv3: we might do a rebrand sometime this year depending on a couple of things - why?
<mithro> inquisitiv3: we might do a rebrand sometime this year depending on a couple of things - why?
<mithro> inquisitiv3: we might do a rebrand sometime this year depending on a couple of things - why?
<mithro> inquisitiv3: we might do a rebrand sometime this year depending on a couple of things - why?
<digshadow> mithro: theres more than that
<digshadow> mithro: theres more than that
<digshadow> mithro: theres more than that
<digshadow> mithro: theres more than that
<digshadow> mithro: theres more than that
<digshadow> there was google doc with a bunch of complimentary info I think?
<digshadow> there was google doc with a bunch of complimentary info I think?
<digshadow> there was google doc with a bunch of complimentary info I think?
<digshadow> there was google doc with a bunch of complimentary info I think?
<digshadow> there was google doc with a bunch of complimentary info I think?
<mithro> digshadow: Not sure how relevant that information is?
<mithro> digshadow: Not sure how relevant that information is?
<mithro> digshadow: Not sure how relevant that information is?
<mithro> digshadow: Not sure how relevant that information is?
<mithro> digshadow: Not sure how relevant that information is?
<mithro> cr1901_modern started working on Verilog simulation models
<mithro> cr1901_modern started working on Verilog simulation models
<mithro> cr1901_modern started working on Verilog simulation models
<mithro> cr1901_modern started working on Verilog simulation models
<mithro> cr1901_modern started working on Verilog simulation models
<digshadow> mithro: do you believe ken's docs are complete?
<digshadow> mithro: do you believe ken's docs are complete?
<digshadow> mithro: do you believe ken's docs are complete?
<digshadow> mithro: do you believe ken's docs are complete?
<digshadow> mithro: do you believe ken's docs are complete?
<digshadow> in the github repo
<digshadow> in the github repo
<digshadow> in the github repo
<digshadow> in the github repo
<digshadow> in the github repo
<tpb> Title: symbiflow-arch-defs/xc20xx/primitives at xc20xx · cr1901/symbiflow-arch-defs · GitHub (at github.com)
<tpb> Title: symbiflow-arch-defs/xc20xx/primitives at xc20xx · cr1901/symbiflow-arch-defs · GitHub (at github.com)
<tpb> Title: symbiflow-arch-defs/xc20xx/primitives at xc20xx · cr1901/symbiflow-arch-defs · GitHub (at github.com)
<tpb> Title: symbiflow-arch-defs/xc20xx/primitives at xc20xx · cr1901/symbiflow-arch-defs · GitHub (at github.com)
<tpb> Title: symbiflow-arch-defs/xc20xx/primitives at xc20xx · cr1901/symbiflow-arch-defs · GitHub (at github.com)
<digshadow> hmm had not seen cr1901s repo there
<digshadow> hmm had not seen cr1901s repo there
<digshadow> hmm had not seen cr1901s repo there
<digshadow> hmm had not seen cr1901s repo there
<digshadow> hmm had not seen cr1901s repo there
<inquisitiv3> mithro: I saw that symbiflow.io and symbiflow.org was free, so I wondered if noone had bothered to purchase the domain or of there's going to be a rebranding.
<inquisitiv3> mithro: I saw that symbiflow.io and symbiflow.org was free, so I wondered if noone had bothered to purchase the domain or of there's going to be a rebranding.
<inquisitiv3> mithro: I saw that symbiflow.io and symbiflow.org was free, so I wondered if noone had bothered to purchase the domain or of there's going to be a rebranding.
<inquisitiv3> mithro: I saw that symbiflow.io and symbiflow.org was free, so I wondered if noone had bothered to purchase the domain or of there's going to be a rebranding.
<inquisitiv3> mithro: I saw that symbiflow.io and symbiflow.org was free, so I wondered if noone had bothered to purchase the domain or of there's going to be a rebranding.
<dbe_> mithro: I heard you are the guy to talk to when one would like to contribute to the project. Is there any work for a beginner left to do on the Xilinx 7 series part of the project?
<dbe_> mithro: I heard you are the guy to talk to when one would like to contribute to the project. Is there any work for a beginner left to do on the Xilinx 7 series part of the project?
<dbe_> mithro: I heard you are the guy to talk to when one would like to contribute to the project. Is there any work for a beginner left to do on the Xilinx 7 series part of the project?
<dbe_> mithro: I heard you are the guy to talk to when one would like to contribute to the project. Is there any work for a beginner left to do on the Xilinx 7 series part of the project?
<dbe_> mithro: I heard you are the guy to talk to when one would like to contribute to the project. Is there any work for a beginner left to do on the Xilinx 7 series part of the project?
<mithro> dbe_: Yes! Still heaps to do, depending on what you are "beginner" at
<mithro> dbe_: Yes! Still heaps to do, depending on what you are "beginner" at
<mithro> dbe_: Yes! Still heaps to do, depending on what you are "beginner" at
<mithro> dbe_: Yes! Still heaps to do, depending on what you are "beginner" at
<mithro> dbe_: Yes! Still heaps to do, depending on what you are "beginner" at
mgielda has joined #symbiflow
mgielda has joined #symbiflow
mgielda has joined #symbiflow
mgielda has joined #symbiflow
mgielda has joined #symbiflow
<mithro> dbe_: If you know Python already, there is *heaps* you can do
<mithro> dbe_: If you know Python already, there is *heaps* you can do
<mithro> dbe_: If you know Python already, there is *heaps* you can do
<mithro> dbe_: If you know Python already, there is *heaps* you can do
<mithro> dbe_: If you know Python already, there is *heaps* you can do
<dbe_> mithro: well I'm an EE with some python experience (mostly data acquisition and analysis) and have a tiny bit of experience using myhdl+Quartus. I wanted to check out nMigen next but was distracted by your 35c3 talk. I could also help with testing and documentation, but as a non-native speaker my English is meh
<dbe_> mithro: well I'm an EE with some python experience (mostly data acquisition and analysis) and have a tiny bit of experience using myhdl+Quartus. I wanted to check out nMigen next but was distracted by your 35c3 talk. I could also help with testing and documentation, but as a non-native speaker my English is meh
<dbe_> mithro: well I'm an EE with some python experience (mostly data acquisition and analysis) and have a tiny bit of experience using myhdl+Quartus. I wanted to check out nMigen next but was distracted by your 35c3 talk. I could also help with testing and documentation, but as a non-native speaker my English is meh
<dbe_> mithro: well I'm an EE with some python experience (mostly data acquisition and analysis) and have a tiny bit of experience using myhdl+Quartus. I wanted to check out nMigen next but was distracted by your 35c3 talk. I could also help with testing and documentation, but as a non-native speaker my English is meh
<dbe_> mithro: well I'm an EE with some python experience (mostly data acquisition and analysis) and have a tiny bit of experience using myhdl+Quartus. I wanted to check out nMigen next but was distracted by your 35c3 talk. I could also help with testing and documentation, but as a non-native speaker my English is meh
<inquisitiv3> mithro: Btw, I would like to thank you for your talk at CCC. It was great!
<inquisitiv3> mithro: Btw, I would like to thank you for your talk at CCC. It was great!
<inquisitiv3> mithro: Btw, I would like to thank you for your talk at CCC. It was great!
<inquisitiv3> mithro: Btw, I would like to thank you for your talk at CCC. It was great!
<inquisitiv3> mithro: Btw, I would like to thank you for your talk at CCC. It was great!
<mithro> inquisitiv3: Thanks!
<mithro> inquisitiv3: Thanks!
<mithro> inquisitiv3: Thanks!
<mithro> inquisitiv3: Thanks!
<mithro> inquisitiv3: Thanks!
<inquisitiv3> I didn't understand most of it, but it got me interested in FPGAs
<inquisitiv3> I didn't understand most of it, but it got me interested in FPGAs
<inquisitiv3> I didn't understand most of it, but it got me interested in FPGAs
<inquisitiv3> I didn't understand most of it, but it got me interested in FPGAs
<inquisitiv3> I didn't understand most of it, but it got me interested in FPGAs
dzamlo has joined #symbiflow
dzamlo has joined #symbiflow
dzamlo has joined #symbiflow
dzamlo has joined #symbiflow
dzamlo has joined #symbiflow
dzamlo has quit [Client Quit]
dzamlo has quit [Client Quit]
dzamlo has quit [Client Quit]
dzamlo has quit [Client Quit]
dzamlo has quit [Client Quit]
testperson has joined #symbiflow
testperson has joined #symbiflow
testperson has joined #symbiflow
testperson has joined #symbiflow
testperson has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
perillamint_ has joined #symbiflow
perillamint_ has joined #symbiflow
perillamint_ has joined #symbiflow
perillamint_ has joined #symbiflow
perillamint_ has joined #symbiflow
rahix has quit [Quit: rahix]
rahix has quit [Quit: rahix]
rahix has quit [Quit: rahix]
rahix has quit [Quit: rahix]
rahix has quit [Quit: rahix]
rahix has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
rahix has joined #symbiflow
citypw has quit [Ping timeout: 240 seconds]
citypw has quit [Ping timeout: 240 seconds]
citypw has quit [Ping timeout: 240 seconds]
citypw has quit [Ping timeout: 240 seconds]
citypw has quit [Ping timeout: 240 seconds]
testperson has quit [Ping timeout: 256 seconds]
testperson has quit [Ping timeout: 256 seconds]
testperson has quit [Ping timeout: 256 seconds]
testperson has quit [Ping timeout: 256 seconds]
testperson has quit [Ping timeout: 256 seconds]
citypw has joined #symbiflow
citypw has joined #symbiflow
citypw has joined #symbiflow
citypw has joined #symbiflow
citypw has joined #symbiflow
dbe_ has quit [Ping timeout: 256 seconds]
dbe_ has quit [Ping timeout: 256 seconds]
dbe_ has quit [Ping timeout: 256 seconds]
dbe_ has quit [Ping timeout: 256 seconds]
dbe_ has quit [Ping timeout: 256 seconds]
mgielda has quit [Ping timeout: 256 seconds]
mgielda has quit [Ping timeout: 256 seconds]
mgielda has quit [Ping timeout: 256 seconds]
mgielda has quit [Ping timeout: 256 seconds]
mgielda has quit [Ping timeout: 256 seconds]
Timm_ has joined #symbiflow
Timm_ has joined #symbiflow
Timm_ has joined #symbiflow
Timm_ has joined #symbiflow
Timm_ has joined #symbiflow
Timm_ has quit [Quit: Page closed]
Timm_ has quit [Quit: Page closed]
Timm_ has quit [Quit: Page closed]
Timm_ has quit [Quit: Page closed]
Timm_ has quit [Quit: Page closed]
sxpert has joined #symbiflow
sxpert has joined #symbiflow
sxpert has joined #symbiflow
sxpert has joined #symbiflow
sxpert has joined #symbiflow
tpb has quit [Remote host closed the connection]
tpb has quit [Remote host closed the connection]
tpb has quit [Remote host closed the connection]
tpb has quit [Remote host closed the connection]
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
tpb has joined #symbiflow
tpb has joined #symbiflow
tpb has joined #symbiflow
tpb has joined #symbiflow