celadon_ has joined #symbiflow
celadon has quit [*.net *.split]
citypw has joined #symbiflow
_whitelogger has joined #symbiflow
proteusguy has joined #symbiflow
proteusguy has quit [Ping timeout: 246 seconds]
proteusguy has joined #symbiflow
_whitelogger has joined #symbiflow
<nats`> plop
celadon_ is now known as celadon
citypw has quit [Ping timeout: 245 seconds]
kraiskil has joined #symbiflow
proteusguy has quit [Ping timeout: 250 seconds]
proteusguy has joined #symbiflow
JustAnother1 has joined #symbiflow
citypw has joined #symbiflow
<nats`> hey cool litghost thanks for the merge :)
<nats`> \o/
<nats`> my 7th commit
<digshadow> fyi back from travels if anyone is waiting on me for something
kraiskil has quit [Ping timeout: 246 seconds]
<nats`> litghost, any thing I should do quickly ?
<nats`> I can't remember what was the "road map"
<litghost> Refactor 072 and 074 to share what is common
<litghost> start with the flags we added to 074
<litghost> I refactored the post-processing, it's much faster now and uses less memory
<litghost> so mostly just finish cleaning up 072/074
<nats`> oky I can move the pool in the utils.py ?
<nats`> I need to add argument to 072 too
<nats`> nothing else more important in short term ?
<litghost> No, after that I'd say pick up something that interests you
<litghost> We have plenty of the parts that are uninvestigate, XADC, MCMM, PCIE, IOB
<litghost> BRAM too
<tpb> Title: BRAM interconnect muxes are missing · Issue #477 · SymbiFlow/prjxray · GitHub (at github.com)
<nats`> litghost, where should I start when i'll do that ? because I don't even know how you "invetigated"
<nats`> but I'm interested in MMCM because I tinkered a lot with it on artix
<litghost> digshadow is a better person to talk with. However they already started the MMCM, so maybe that will provide enough of a hint.
<tpb> Title: prjxray/fuzzers/031-cmt-mmcm at master · SymbiFlow/prjxray · GitHub (at github.com)
<litghost> I haven't taken a look at the state of the MMCM, but we are looking for two things
<litghost> 1) Can all of the MMCM parameters be expressed by the segbits output from the fuzzers?
<litghost> 2) Is there an MMCM specific routing that needs a fuzzer?
<digshadow> 2 yes I think
<litghost> 1) is an exercise in comparing https://www.xilinx.com/support/documentation/sw_manuals/xilinx14_7/7series_hdl.pdf for the MMCM against the output from the fuzzer
<digshadow> But 50 is pretty generic. We might be able to cover using it
<litghost> It's also worth noting that the MMCM fuzzer is not part of the root make, so at a minimum adding it to the root Makefile and check the fuzzer for stability issues is useful work
<litghost> Once 1) and 2) is done, then it needs to be added to symbiflow-arch-defs
<litghost> BRAM example of doing this is here: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/321
<tpb> Title: WIP: Initial XC7-BRAM support in VPR. by litghost · Pull Request #321 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<nats`> oky :)
<nats`> I'll annoy digshadow after fixing 072/074 :)
<digshadow> nats`: ping me once you are ready. In the meantime I'll check in with the mmcm guy
<mithro> Morning everyone
<mithro> litghost: Do you need me to look at anything?
<litghost> mithro: Not at this time
<litghost> FYI, pushing a database update for artix7/kintex7 is a good plan
<litghost> zync7 is close, but I heard from kgugala that fuzzer 058 failed
<litghost> however that might be the last zync7 failure before an initial zync DB can be published
<nats`> https://github.com/SymbiFlow/prjxray/commits/master <= litghost I was syncing my repo and I saw something weird in commit my 074 commit seems to have been applied two time oO
<tpb> Title: Commits · SymbiFlow/prjxray · GitHub (at github.com)
<nats`> uhhmm is it me or when i source the env now I don't have (env) prefix int he terminal anymore
<nats`> that was pretty usefull
mario_ has joined #symbiflow
<nats`> litghost, I'm updating the 072 to be like 074 PR that and only after i'll split the pool function I would like to have functionnal block in case we need to rollback
<litghost> sounds good
<litghost> I only see the 074 once?
<nats`> you have my commit
<nats`> and then upper there is your commit saying merging PR
<tpb> Title: Merge pull request #526 from natsfr/master · SymbiFlow/prjxray@4efea26 · GitHub (at github.com)
<nats`> maybe i don't understand what that means
<nats`> yes
<litghost> github creates a merge commit when pulling PR's
<litghost> that's all
<nats`> ah oky
kraiskil has joined #symbiflow
<mario_> Hello lads, at 35c3 I've seen your talk and waving for help and just listened to the interview on the hackaday podcast. And (i think tim was it) said it on 35c3 and the interview said that people with an spartan-6 would be cool to help documenting the bitstream. I do have a cheap chinese fpga board from ebay with a spartan-6 xc6slx9 on it. Is it possible for me to help porting that or is it even worth it?
<mario_> I only got into fpgas last semester, but it really got me and I think i want to learn more about them
<sorear> there are I think 3 semi-active projects to study s6. hardware doesn't really help here, most of the process is feeding thousands upon thousands of verilog designs into ISE and correlating the input with the resulting bitstreams
<mario_> Is there any point where i can read up more on this or is this https://github.com/SymbiFlow/prjxray the best place?
<tpb> Title: GitHub - SymbiFlow/prjxray: Documenting the Xilinx 7-series bit-stream format. (at github.com)
<sorear> i'd say your best bet is to ask random people on here
<mario_> Okay thank you. My head can't handle that right now, but i hope in a few days/weeks. Just gotta get my head straight again
<nats`> maybe we should add (env target) in the terminal prompt when sourced it could help I always wonder which terminal is sourced
<sorear> maybe mwk
<nats`> mwk ?
<sorear> IRC user, posted a s6 thing recently
<nats`> ah sorry didn't see you were discussing with mario_
mario_ has quit [Quit: Page closed]
<mithro> sorear: I actually think the s6 stuff is mostly blocked behind someone doing -> https://github.com/YosysHQ/yosys/issues/448
<tpb> Title: Spartan 3/6 support with ISE backend? · Issue #448 · YosysHQ/yosys · GitHub (at github.com)
<nats`> mithro, I saw a new project poped recently in my github feed
<nats`> can't find it... I should have followed it
<nats`> I have a weird fail in "make format"
<nats`> if [ -e env/bin/activate ]; then . env/bin/activate; fi; find . -name \*.py -and -not -path './third_party/*' -and -not -path './.git/*' -and -not -path './env/*' -and -not -path './build/*' -print0 | xargs -0 -P $(nproc) yapf -p -i
<nats`> xargs: yapf: No such file or directory
<nats`> Makefile:52: recipe for target 'format-py' failed
<nats`> make: *** [format-py] Error 127
kraiskil has quit [Ping timeout: 272 seconds]
<mithro> nats`: make env ?
<mithro> nats`: What was the project about?
<nats`> about an open source toolchain for xilinx serie 6 and 3
<nats`> the author has an eastern europe name if I remember correctly
<nats`> I never run make env
<nats`> ahhh you're right
<nats`> I erased my repo to clean it that's why :)
<nats`> thanks
<mithro> There are a bunch of bitstream stuff for Xilinx s6
<mithro> but the missing part is the synthesis + place and route
<tpb> Title: GitHub - koriakin/prjleuctra: Reverse engineering tools and chip database for ISE-era Xilinx FPGAs. (at github.com)
<mithro> nats`: Oh, interesting - they seem to have an approach for generating the tiles which is somewhere I think SymbiFlow might be heading....
<nats`> apparently the guy is inspired by prjxray
<nats`> it quote it in readme
<nats`> litghost, https://github.com/SymbiFlow/prjxray/pull/555 <= I made the same change to add argument to the 072 fuzzer, if you could do a run to compare output that would be cool :)
<tpb> Title: Adding modular arguments for parallel Fuzzer 072 by natsfr · Pull Request #555 · SymbiFlow/prjxray · GitHub (at github.com)
<nats`> he sha1 smart I forgot that -_-
<nats`> let me few minutes to regenerate it and compare
<mithro> nats`: Yeah
<mithro> nats`: Still the big part that is missing is the Yosys side...
<nats`> to be honnest I still don't know the general architecture of this project :)
<nats`> sure I saw that but I don't understand why S6 couldn't be supported by Yosys after reverse
<litghost> It can, but someone has to do that work
<daveshah> Support in Yosys is orthogonal to bitstream stuff
<daveshah> I'm pretty sure s6 did work to some extent in Yosys once, although maybe there has been bitrot
<nats`> uhhmm the PNR needs to be tuned to target ?
<litghost> Yosys doesn't do PNR, it does synthesis
<nats`> uhh sure it's logic in fact
<nats`> synthesis and PnR needs that
<nats`> oky I think I get the idea
<nats`> if we start a work on Serie 6 and 3 I would certainly help but I don't like those serie they have so many flaws
<daveshah> Synthesis just needs to know about the primitives
<nats`> the serie 7 seems perfect in comparison :)
<daveshah> And in general the vendors document them reasonably well
<nats`> ok :)
<nats`> so basically you're saying a lot of work need to be done on a part with no reverse and "only" writing the good interface in Yosys
<nats`> ?
<litghost> Yes and no. Implementing the techlibs are the first step. Lowering the synthesized output then may require further mapping for the PnR tooling. For example DRAM primatives can be considered multiple placable units
<nats`> oky I get the idea
<mithro> nats`: bitstream documentation for the s6 is not currently the long pole for s6 support. Someone needs to do the work in Yosys first and then PnR....
<nats`> I would like to help but I'm really too ignorant for that :)
Rahix has quit [Quit: Rahix]
<nats`> litghost, I apparently have a problem sha1 is not the same !
<nats`> I'm surprised i'll check why
tpb has quit [Read error: Connection reset by peer]
tpb has joined #symbiflow