proteusguy has quit [Remote host closed the connection]
_whitelogger has joined #symbiflow
citypw has joined #symbiflow
proteusguy has joined #symbiflow
proteusguy has quit [Ping timeout: 258 seconds]
proteusguy has joined #symbiflow
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #symbiflow
proteusguy has quit [Ping timeout: 258 seconds]
proteusguy has joined #symbiflow
citypw has quit [Ping timeout: 272 seconds]
<nats`> hello
<nats`> kgugala, I have a problem running the fuzzer 005 but I remember seeing something on the bug tracker
<nats`> is it me or there is something broken ?
Rahix has joined #symbiflow
<nats`> ++ fgrep CRITICAL vivado.log
<nats`> + for x in design*.bit
<nats`> + test -z ''
<nats`> + /home/nats/project/symbiflow/prjxray/build/tools/bitread --part_file /home/nats/project/symbiflow/prjxray/database/zynq7/xc7z010clg400-1.yaml -F 0x00000000:0xffffffff -o design.bits -z -y design.bit
<nats`> Part file not found or invalid
<nats`> ../fuzzaddr/common.mk:12: recipe for target 'build/specimen_001/OK' failed
<nats`> uhhmm it may have been corrected by a commit I don't have let me retry
<noopwafel> heh is zynq7 'working' now? :)
<nats`> working on it (mainly kgugala in fact I'm fixing generale perf problem in fuzzer)
<noopwafel> very nice!
<nats`> oky kgugala seems fixed by a change in the 001 fuzzer :)
<nats`> I start a full run on zynq with time to see how long it'll take
<nats`> let's hope it doesn't fail mid way
citypw has joined #symbiflow
<nats`> + python3 /home/nats/project/symbiflow/prjxray/utils/parsedb.py --strict build/segbits_clbx.db
<nats`> Traceback (most recent call last):
<nats`> File "/home/nats/project/symbiflow/prjxray/utils/parsedb.py", line 61, in <module>
<nats`> main()
<nats`> File "/home/nats/project/symbiflow/prjxray/utils/parsedb.py", line 57, in main
<nats`> run(args.fin, args.fout, strict=args.strict, verbose=args.verbose)
<nats`> File "/home/nats/project/symbiflow/prjxray/utils/parsedb.py", line 27, in run
<nats`> bits, tag, bitss[bits])
<nats`> AssertionError: strict: got duplicate bits frozenset({'31_16', '31_17'}): CLB.SLICE_X0.BLUT.RAM CLB.SLICE_X0.ALUT.RAM
<nats`> + finish
<nats`> + echo 'Cleaning up temp files'
<nats`> I'll switch to artix because I think kgugala is stil lfixing a lot of things on zynq target
citypw has quit [Ping timeout: 250 seconds]
<nats`> fuzzer 013 making error too in artix 7
<nats`> + python3 /home/nats/project/symbiflow/prjxray/utils/mergedb.py --out ./tmp.7L99aPR736 /home/nats/project/symbiflow/prjxray/database/artix7/segbits_clbll_l.db ./tmp.HnAre7NUQC
<nats`> WARNING: got duplicate tag CLBLL_L.SLICEL_X1.CARRY4.ACY0
<nats`> Orig line: CLBLL_L.SLICEL_X1.CARRY4.ACY0 31_01 31_04 31_14 31_15
<nats`> New line : CLBLL_L.SLICEL_X1.CARRY4.ACY0 31_14
<nats`> Traceback (most recent call last):
<nats`> File "/home/nats/project/symbiflow/prjxray/utils/mergedb.py", line 64, in <module>
<nats`> main()
<nats`> File "/home/nats/project/symbiflow/prjxray/utils/mergedb.py", line 60, in main
<nats`> verbose=args.verbose)
<nats`> File "/home/nats/project/symbiflow/prjxray/utils/mergedb.py", line 28, in run
<nats`> assert not strict, "strict: got duplicate tag"
<nats`> AssertionError: strict: got duplicate tag
<kgugala> yes, I've seen the problems with 01x fuzzers on zynq
<nats`> there it's on artix
<nats`> the second one
<kgugala> I think they were working before
<nats`> how about taking a break in new feature and working together to get a full run working for each target ?
<nats`> in my case the 013 always fail on same error
<kgugala> stable DB would be very good to have
<nats`> sure
<nats`> it would help people wanting to work on higher level stuff
<kgugala> can you create an issue with 013 on artix
<nats`> yep sure I wanted to be sure it's not already a known issue
<kgugala> note that about a week ago we switched to more strict error checking
<nats`> ahhhh
<kgugala> so the problem you have could be present before, but was masked
<nats`> oky good things anyway to clean everything :)
<kgugala> still, we need to fix this
<tpb> Title: Fuzzer 013 Duplicate Tag · Issue #532 · SymbiFlow/prjxray · GitHub (at github.com)
<nats`> you're copied in the issue
<nats`> so you'll see update
<nats`> do you have an idea about this 013 issue ?
<nats`> I don't know where I should look
<kgugala> I'd grep through logs to see which run actually instantiated CLBLL_L.SLICEL_X1.CARRY4.ACY0
<kgugala> also, I'd check git log if there was something done with this fuzzer recently
<nats`> thanks good hints
proteusguy has quit [Ping timeout: 250 seconds]
Rahix_ has joined #symbiflow
Rahix has quit [Ping timeout: 268 seconds]
<nats`> I don't find any clue about that duplicated problem
proteusguy has joined #symbiflow
<kgugala> all those 01x bugs look like heisenbugs
<kgugala> when I run it on my machine I cannot reproduce it
<kgugala> however, on different machines those fails in exact the same way as for nats`
<nats`> ouch I don't like that
<nats`> I rerun one after having explicitly make clean the database
<nats`> just to be sure
<nats`> schroendinbug
<nats`> uhh kgugala
<nats`> make clean in database cleared the problem...
<nats`> I guess we have to trigger the database make clean from fuzzer make
<kgugala> I got this bug even with clean db
<nats`> that becomes really "mystic" :D
<nats`> currently running the 026 no problem so far since I clean the database
<kgugala> for Artix?
<nats`> yep
<nats`> it's now Dumping pips from tile
<nats`> I don't know which fuzzer it is :D
<kgugala> I'd guess one of 05x
citypw has joined #symbiflow
citypw has quit [Ping timeout: 258 seconds]
Rahix_ has quit [Quit: Rahix_]
<litghost> kgugala: > I think they (CLB fuzzers) were working before -> No, the CLB fuzzers were broken for a while. They were running to completion, but returning invalid results by including some INT bits.
<litghost> I've been working on getting the false positive rate down, and artix7 seems more consistent. I'm currently doing a complete run on artix7 with the latest PR's, and then I'll do some repeat runs to ensure that the CLB fuzzers are stochastically failing
<litghost> Are not stochastically failling
<litghost> The changes I added for the CLB fuzzers will cause broken partial databases to generate errors, like the "strict: got duplicate tag" failure
<litghost> But the reason for the failure is that the fuzzer added bad bits in the earlier run, and needs to be cleaned out
<litghost> We could add smarts to detect when a new entry is narrower than the previous entry. Generally speaking narrower entries are more likely to be correct than wide entries
<nats`> litghost, I think we need a massive rework of the dependencies and cleaning process
<nats`> because a lot of things explode bescause of that
<litghost> I don't disagree, however I don't see an immediately obvious solution. Part of the problem arises in the fact that the fuzzer process is additive, which makes the dependency tracking merky. Unclear what the "correct" structure looks like that enables parallelism and tighter dependency tracking
<nats`> oky
Sonnenmann has joined #symbiflow
Rahix has joined #symbiflow
<nats`> uhhhhh question...
<nats`> is it normal to loop over the fuzzer 050 since few hours ?
<litghost> yes and no
<tpb> Title: int_loop stability criteria is wasteful · Issue #537 · SymbiFlow/prjxray · GitHub (at github.com)
<litghost> I'm working on a patch
<litghost> lowers the runtime significantly
<litghost> on artix7 it appears to take all 12 iterations, but the last couple iterations only find less than 10 pips per iteration
Sonnenmann has quit [Quit: bbl]
<nats`> uhhmmm ok I need to understand how it works but anyway I think it loops since 3 or 4hours so I'll stop it
<nats`> litghost, do you think I modify my 074 patch to do what we said and them redo a clean PR to merge anyway ?
<litghost> nats: can you rephrase?
<nats`> we discussed some modification to apply to my last code for fuzzer 074
<nats`> I was wondering if I do them even if we couldn't fully test it because of dependencies to other fuzzer
<nats`> I'm tired I guess my english is pretty hard to understand :D
<nats`> short: do I update my patch to merde the PR for the 074
<nats`> merge
<litghost> nats: Yes, apply the fixes. 072-074 are relatively independent of the other fuzzers, so you only really need to test 072-074
<nats`> 074 is more complicated
<nats`> the post processing call stuff from lower fuzzer like 005
<nats`> so I couldn't test post processing but I didn't modify that part so if the generation part of the fuzzer is good.... it should be
<nats`> just be aware that merge could break something
<nats`> but if everybody is aware it's not a real problem
<nats`> litghost, can we skip the move of my pool function to utils.py for now
<nats`> I would like to avoid spreadin mistake if there are some
<mithro> So, should "make -jXXX" work on the fuzzers?
<nats`> once well tested we could refactors things
<litghost> make -jXXX is working
<litghost> I've been using it to accelerate testing with success
<mithro> litghost: Does it work with QUICK=y ?
<mithro> litghost: and what should I set it too? The number of processors? smaller? greater?
<litghost> Unclear, I haven't been testing with QUICK, I'm mostly interested in fixed the fuzzer output to be correct right now
<litghost> Pretty much none of the tip of HEAD fuzzers output the correct bits
<litghost> As for number of processes, I've been using -j48 to good affect, but my machine is very large.
<mithro> litghost: This is for the CI
<mithro> litghost: It's almost working
<mithro> Currently trying "make --output-sync=target --warn-undefined-variables QUICK=y -j32"
* nats` is dying of jealousy of all those huge -j :)
<nats`> litghost, do you have an idea about the good default size for the number of item to process in each instance of vivado ?
<litghost> try 50 items?
<nats`> it's really low
<nats`> I made all my test with 64 block so something like hundreds thousand item per instance
<litghost> oh
<nats`> but it varies a lot following what you're processing
<nats`> tiles/nodes/pips
<litghost> so tiles should be ~10 - ~100
<nats`> in fact it depends more on what is called in the loop
<litghost> pips should 10k - 100k
<litghost> same with nodes
<litghost> try that for a first cut
<litghost> watch for peak memory and runtime
<nats`> I'll do that yes
<litghost> nodes is ~10k
<nats`> how hard could it be to make a first fuzzer called bencher
<litghost> pips is ~100k
<nats`> to find the sweet spot
<nats`> it could be the next step
<litghost> I don't think we want it to be that dynamic
<litghost> But it is a fun exercise
<nats`> ok
<litghost> Keep in mind you are balancing a lot of variables
<litghost> CPU usage, disk usage, memory usage
<nats`> sure you're right
<nats`> I'll put a default at 10k in the python script and set things in the makefile
<litghost> I think we might tune the CI and larger systems differently than the defaults
<litghost> sounds good
<nats`> CI ?
<litghost> continuous integration
<litghost> Once the memory requirements on 072-074 is fixed, we should be running a database generation once a day (or maybe every other day)
<litghost> mithro was alluding to a QUICK mode, which hopefully runs in <10 minutes
<litghost> to do sanity checking on PR's
<nats`> ah oky
<nats`> it seems complicated no ?
<nats`> I mean even with optimisation I'm pretty sure 072 and 074 can't be run in less than few hours
<nats`> by the way I was wondering if we should split 074 in two part, the generation and the post processing
<litghost> 074 is already split in a functional sense
<litghost> I'm not sure the value of splitting it in the fuzzer sense
<litghost> 072-074 is generally excluded from QUICK because of its large runtime
<nats`> the main advantage I see is in case of late failure in 074 you can easily rerun the post processing part
<tpb> Title: prjxray/generate_after_dump.sh at master · SymbiFlow/prjxray · GitHub (at github.com)
<litghost> I guess you mean from the standpoint of the makefile?
<nats`> yep
<litghost> the makefile could easily be refactored to know when the vivado portion is done
<nats`> I used to run generate_after_dump by hand but it's not really "documented"
<nats`> yep
<nats`> could be done in the same way I want to implement the "last target function"
<litghost> there are many ways if you are simply referring to keep 074 as one fuzzer, but making two targets instead of one
<nats`> https://github.com/SymbiFlow/prjxray/issues/528 <= I was talking about extending this "feature request"
<tpb> Title: Fuzzer storing last target · Issue #528 · SymbiFlow/prjxray · GitHub (at github.com)
<litghost> Not sure how that is related
<litghost> https://github.com/SymbiFlow/prjxray/blob/master/fuzzers/074-dump_all/Makefile#L7 the database target could invoke generate_after_dump.sh
<tpb> Title: prjxray/Makefile at master · SymbiFlow/prjxray · GitHub (at github.com)
<nats`> we could save the last valid step and the corresponding target (zynq/artix/kintex)
<nats`> so it would allow to keep everything coherent when restarting a failed fuzzer
<litghost> that feature feels orthogonal to the 074 refactoring
<nats`> sure it is !
<nats`> sorry my mistake I made that confusing
<nats`> I think it's a better feature to do before refactoring
<nats`> my opinion is if we can be sure the dependency system covers almost all possible easy mistake the refactoring can be splitted in even more small job
<litghost> Ultimately up to you
<nats`> ok I'll focus on making things work first and we will see later or I'll stack a lot of modification in my code without testing but I keep that in mind
<nats`> :wq
<nats`> ...
<nats`> -_-
<nats`> litghost, basic question with makefile but I don't remember if it ok to use $(var) to pass the argument to internal command line ?
<litghost> what do you mean "internal command line"?
<nats`> uhhmmm to pass as an argument for command line started by the Makefile
<tpb> Title: GNU make: Reading Makefiles (at www.gnu.org)
<litghost> If it's in the command portion, it is deferred, meaning command line overrides
<litghost> if you need something in the dependency list, so the link
<nats`> I think I see the link is useful thanks
<nats`> I'm sorry this evening my brain doesn't want to speak english.... I'll try to write better sentence
<nats`> cool thanks the arg passing through Makefile is working now with default value
<nats`> I have something that seems to work i'll push to update the PR if it's good I'll squash commit before merge is it ok ?
<litghost> nats: Sounds good
<nats`> can you keep old file to compare with the new version I did a stupid make clean without saving them :|
<mithro> litghost: It would be really nice if we solve https://github.com/SymbiFlow/prjxray/issues/494 -- then the CI should give us nice looking status outputs of which fuzzers ran / failed / etc
<tpb> Title: Make each fuzzer output a test_results.xml file · Issue #494 · SymbiFlow/prjxray · GitHub (at github.com)
<mithro> bblr, going to find some lunch
<nats`> litghost, saw your review i'll take care of that tomorrow I need to go to sleep :)
<nats`> good night !
<mithro> nats`: thanks for all your work!
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow