citypw has quit [Ping timeout: 264 seconds]
citypw has joined #symbiflow
Bertl is now known as Bertl_zZ
proteusguy has quit [Ping timeout: 250 seconds]
proteusguy has joined #symbiflow
ayumis13 has quit [Ping timeout: 256 seconds]
analognoise has joined #symbiflow
i8hantanu has joined #symbiflow
OmniMancer has joined #symbiflow
_whitelogger has joined #symbiflow
<sf-slack> <mgielda> @duck2 @risto.pejasinovic - what's the status of the GSoC applications?
citypw has quit [Ping timeout: 259 seconds]
ayumis13 has joined #symbiflow
analognoise has quit [Quit: Leaving]
ayumis13 has quit [Ping timeout: 256 seconds]
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
ayumis13 has joined #symbiflow
<ayumis13> hello everyone, I am writing the proposal for the GSOC 19 for the project python library for generating VTR arch.xml files . Just wanted to ask if this project is the part of the program.
ayumis13 has quit [Ping timeout: 256 seconds]
<sf-slack> <mgielda> is this an issue from the ideas list
<sf-slack> <mgielda> ?
<sf-slack> <risto.pejasinovic> @mgielda I think I took too much to write quality proposal, for the little time I had. I have the general idea but not enough details. I uploaded draft, I am open to opinions.
<sf-slack> <mgielda> can you link to the draft?
<sf-slack> <mgielda> (hard to give opinions without seeing it)
<tpb> Title: Draft proposal for SymbiFlow GSoC - Google Docs (at docs.google.com)
<sf-slack> <risto.pejasinovic> Sorry I think you can see it from GSoC
<sf-slack> <risto.pejasinovic> thought*
<sf-slack> <risto.pejasinovic> Its very early draft
<sf-slack> <mgielda> it's Yosys
<sf-slack> <mgielda> I can see it indeed, but we I don't get a notification
<sf-slack> <mgielda> so it's best to say explicitly when you're uploading stuff, and generally keep the conversation running
<sf-slack> <mgielda> people are busy, they will not have time to ping you for updates
<sf-slack> <mgielda> well you still have time to improve the proposal if you want to participate
<sf-slack> <risto.pejasinovic> I am interested in project. You can see my line of thinking in proposal, maybe suggest me if I am far of? There are some details that I think I could n ot figure alone in proposal. It would take me probably a week or more to research.
ayumis13 has joined #symbiflow
<sf-slack> <risto.pejasinovic> I am not sure what fuzzers are all gonna be needed to document PS7 ports. So I cannot write for sure that...
<sf-slack> <mgielda> well do as much reasearch as you can
<sf-slack> <mgielda> we'll try to comment on your draft today
<sf-slack> <mgielda> but don't sit around and wait, dig into the code
<sf-slack> <mgielda> ;)
<sf-slack> <risto.pejasinovic> Thanks, im on it :) .
<sf-slack> <acomodi> @risto.pejasinovic a suggestion would be not to write only the links of the github issues, but try also to briefly explain what they are about, this will increase readability of the document.
<sf-slack> <risto.pejasinovic> @acomodi Thanks, I will change that.
ayumis13 has quit [Ping timeout: 256 seconds]
<duck2> mgielda: hello, here is my draft: https://docs.google.com/document/d/1bxVSlnD2vfiWvrIwesxh0HMK4LLZORN8s4ZDm8yQ5WY/ I consider it to be mostly done(thanks to mithro's helpful comments), but I think there are a few things that I couldn't fully ...substantiate, such as the idea of generating the rr_graph from tileconn.json in VPR. i also didn't provid
<duck2> e a very exact schedule, but i feel that is against the nature of the job. anyway, comments are always welcome :)
<tpb> Title: GSoC Proposal: Optimization of VPR File Formats - Google Docs (at docs.google.com)
Vonter has quit [Ping timeout: 258 seconds]
<sf-slack> <saisumanthkalluri> Hello! I'm wishing to work on the issue #11 titled 'adding Verilog Support for Sphinx' and I wanted to know if building a Verilog Extension for Sphinx would be an appropriate amount of work for the GSoC project. I'm unable to make a much more educated decision as I discovered this project too late and I have started digging into the working of Sphinx but I'm running out of time. Thank you.
<sf-slack> <saisumanthkalluri> (extension => domain)
<sf-slack> <kgugala> Hi @saisumanthkalluri I think this could be a GSoC project
<sf-slack> <saisumanthkalluri> @kgugala that's motivating! Although I do not think I have enough time left to break down the project deliverables to fine details without understanding Sphinx better (for which I have no time left). Would that be a big no-no as far as the selection process is concerned? I believe I have the skills though.
<sf-slack> <kgugala> If you file a proposal draft we can help you with it
<sf-slack> <kgugala> just ping as here
<sf-slack> <saisumanthkalluri> @kgugala on it. thank you!
proteusguy has quit [Ping timeout: 252 seconds]
Vonter has joined #symbiflow
i8hantanu has quit [Quit: Connection closed for inactivity]
proteusguy has joined #symbiflow
Bertl_zZ is now known as Bertl
citypw has joined #symbiflow
<sf-slack> <risto.pejasinovic> @acomodi I updated the draft with your suggestions. Can you take a look again. I am a bit worried about my predictions on complexity of individual tasks.
<sf-slack> <mkurc> Good time of day. My status: Working on tile grid location mapping. After merging recent changes from master to my work I have a regression. The VPR cannot find a connection between CLBs and new synthetic BLK_SY-VCC and BLK_SY-GND tiles. Trying to locate the bug.
<litghost> mkurc: Is it between VCC/GND and OPAD?
ana_ has joined #symbiflow
<mithro> duck2: It is expected that your schedule will probably change substantially when you actually get around to doing the implementation
citypw has quit [Ping timeout: 252 seconds]
<mithro> duck2: I'll put some more details into the Python about how to do the edge bit
OmniMancer has quit [Quit: Leaving.]
ana_ has quit [Quit: Page closed]
<tpb> Title: [XC7] Constant routing network cannot connect to OPADs · Issue #544 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<tpb> Title: [XC7] Make use of global constant network optional. by litghost · Pull Request #545 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
Prayas has joined #symbiflow
proteusguy has quit [Ping timeout: 264 seconds]
proteusguy has joined #symbiflow
analognoise has joined #symbiflow
<sf-slack> <mkurc> @litghost No it is not to/from an OPAD
<litghost> mkurc: Okay, then it is some other form of regression
<sf-slack> <mkurc> This must be my mistake as the new constant routing works from master.
<litghost> mkurc: You can try disabling the constant network per https://github.com/SymbiFlow/symbiflow-arch-defs/pull/545, but that isn't a long term solution
<sf-slack> <mkurc> I've encountered the problem while merging my grid loc mapping with the master.
<sf-slack> <mkurc> It works when the mapping is 1 to 1 (identical) but fails when I split CLB columns.
<sf-slack> <mkurc> Probably there is something in the new code that I am missing. I am going to investigate tomorrow
<litghost> k
<sf-slack> <acomodi> equivalence tiles update: I have started implementing the solution described in the document. It seems to be working, with some workarounds, but I know how to get to a cleaner implementation.
<litghost> acomodi: Great!
swick has joined #symbiflow
litghost has quit [Read error: Connection reset by peer]
litghost has joined #symbiflow
proteusguy has quit [Ping timeout: 250 seconds]
<Prayas> Hi All! This is Prayas. I am here to contribute to Symbiflow.
<Prayas> I have some experience in this domain as I am an intern at Mentor-A Siemens usiness
<Prayas> Business*
<Prayas> I also have a Full Time offer there. I have experience in Design Verification Technology. I've worked on Unified Power Format and developed Power Aware APIs for Questa.
<Prayas> The Questa® Advanced Simulator combines high performance and capacity simulation with unified advanced debug and functional coverage capabilities for the most complete native support of Verilog, SystemVerilog, VHDL, SystemC, SVA, UPF and UVM.
<Prayas> The Questa Advanced Simulator is the core simulation and debug engine of the Questa Verification Solution; the comprehensive advanced verification platform capable of reducing the risk of validating complex FPGA and SoC designs.
<Prayas> I'm exploring some symbiflow projects. Please let me know if you have some advice for me. If you think there is something I can start working on, please let me know. Will be happy to contribute in the future :)
<Prayas> Also, I'm comfortable in system verilog. I can work on optimizations. I can also dump design hierarchyand library information into TCL files.
[itchyjunk] has joined #symbiflow
<Prayas> Hi All!
<[itchyjunk]> Yes, your message appears, Prayas.
<[itchyjunk]> You might need to wait for someone to respond if you asked a question.Good luck.
<Prayas> Thanks @itchyjunk
<Prayas> I just registered my nickname. I don't know if my messages were visible here not. So, sending them again. Please ignore them, if its spam for you.
<Prayas> Hi All! This is Prayas. I am here to contribute to Symbiflow.
<Prayas> I have some experience in this domain as I am an intern at Mentor-A Siemens Business
<Prayas> I have experience in Design Verification Technology. I've worked on Unified Power Format and developed Power Aware APIs for Questa.
<Prayas> The Questa® Advanced Simulator combines high performance and capacity simulation with unified advanced debug and functional coverage capabilities for the most complete native support of Verilog, SystemVerilog, VHDL, SystemC, SVA, UPF and UVM.
<Prayas> I'm exploring some symbiflow projects. Please let me know if you have some advice for me. If you think there is something I can start working on, please let me know. Will be happy to contribute in the future :)
<Prayas> Also, I'm comfortable in system verilog. I can work on optimizations. I can also dump design hierarchyand library information into TCL files.
analognoise has quit [Read error: Connection reset by peer]
analognoise has joined #symbiflow
<tpb> Title: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)
Prayas_ has joined #symbiflow
Prayas has quit [Ping timeout: 256 seconds]
<[itchyjunk]> Prayas_, not sure if you saw it. but following the topic, i found this link
<tpb> Title: SymbiFlow - the GCC of FPGAs (at symbiflow.github.io)
<sf-slack> <arora.prayas> Thanks
<[itchyjunk]> Oh, I was going to suggest checking slack but looks like there is a bridge already.
[itchyjunk] has quit [Read error: Connection reset by peer]
[_] has joined #symbiflow
[_] is now known as [itchyjunk]
<Prayas_> Yes, thanks :)
Prayas_ has quit [Ping timeout: 256 seconds]
<sf-slack> <arora.prayas> Hi! I'm looking to work on the issue #16 - 'Convert the Verilog to Routing test runner from Perl to Python' (link- https://github.com/SymbiFlow/ideas/issues/16 ). I have a query, what is the expected approach for this task ? Should I write suitable regex to convert some I wanted to know if building a Verilog Extension for Sphinx would be an appropriate amount of work for the GSoC project. I'm unable to make a
<sf-slack> much more educated decision as I discovered this project too late and I have started digging into the working of Sphinx but I'm running out of time. Thank you.
<tpb> Title: Convert the Verilog to Routing test runner from Perl to Python · Issue #16 · SymbiFlow/ideas · GitHub (at github.com)
<sf-slack> <arora.prayas> Hi! I'm looking to work on the issue #16 - 'Convert the Verilog to Routing test runner from Perl to Python' (link- https://github.com/SymbiFlow/ideas/issues/16 ). I can work on both Perl and Python as I have done some scripting before. I have a query. What is the expected approach for this task? Is there some common code in similar scripts that I can write suitable regular expressions for to convert it to Python
<sf-slack> ? The other way would be to understand the perl scripts and write equivalent Python scipts. Will this be a good project to be considered for my GSOC '19 application ? Regards, Prayas Arora
<tpb> Title: Convert the Verilog to Routing test runner from Perl to Python · Issue #16 · SymbiFlow/ideas · GitHub (at github.com)
Simian has joined #symbiflow
<Simian> Hey all
<Simian> Does anyone have an example of an ICE40 fasm
Simian has left #symbiflow ["Leaving"]
auto-autocrat has joined #symbiflow
[itchyjunk] has left #symbiflow ["Leaving"]
<hackerfoo> Do the autosim targets work in symbiflow-arch-defs? I tried running ram_test_autosim_synth_view, but the clock runs and nothing else happens.
<mithro> hackerfoo: Unclear
<litghost> @hackerfoo: The DRAM one might work
<hackerfoo> That was the DRAM one.
<litghost> @hackerfoo: I remember it working okay, and I think I debugged it using autosim
<litghost> hackerfoo: But its been a while
<hackerfoo> mem[63:0] is stuck at a bunch of 6s and 9s.
<litghost> hackerfoo: Ya, I see that
<litghost> hackerfoo: Did you look at the FSM state signals
<hackerfoo> Those are the only signals that had names. I couldn't find the others in the wave viewer. There are a bunch of numbers of the form _NNNN_.
<elms> Anyone familiar with or know about https://www.efinixinc.com/technology.html ?
<litghost> hackerfoo: Click the "top" to see the signal names
<litghost> hackerfoo: The sim does appear to be broken, which is very interesting. Likely a sim model error
<hackerfoo> Thanks. I see lots of signals with Xs now.
auto-autocrat has quit [Ping timeout: 246 seconds]
<hackerfoo> It seems to get stuck in VERIFY_INIT state.
<hackerfoo> Should I create an issue?
<litghost> hackerfoo: Sure
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow