<litghost> hackerfoo: There is a sample script at the top of fasm2bels.py
<hackerfoo> Thanks
<litghost> hackerfoo: I can share the one I've been using
<sf-slack2> <mgielda> 2) should the Makefile .PHONY target even be there? afaiu it should be ".PHONY help livehtml" that's all
<hackerfoo> litghost: That would be useful, thanks.
<sf-slack2> <mgielda> or there's some weird Makefile magic going on ;) since I want to change the Makefile there, need to understand it first
<litghost> hackerfoo: Here, just copy in both top_bit.v and top_bit.v.tcl https://usercontent.irccloud-cdn.com/file/XfP4UpZn/test_synth.zip
citypw has joined #symbiflow
<elms> mgieda: looks like livereload target will run the last catch all `sphinx-build -M livereload` does that do something meaningful? (Out of touch with Sphinx)
<elms> mgielda: ^^
<sf-slack2> <mgielda> $ sphinx-build -M livereload . _build/ Running Sphinx v1.7.6 Sphinx error: Builder name livereload not registered or available through entry point
<sf-slack2> <mgielda> no, I don't think
<tpb> Title: docs: Make target for live reloading during editing · SymbiFlow/prjxray@0970edb · GitHub (at github.com)
<sf-slack2> <mgielda> $ make livereload make: Nothing to be done for 'livereload'.
<sf-slack2> <mgielda> ok, it's just a bug
<sf-slack2> <mgielda> should have been livehtml
proteusguy has quit [Ping timeout: 246 seconds]
_whitelogger has joined #symbiflow
futarisIRCcloud has joined #symbiflow
Bertl_zZ is now known as Bertl_oO
proteusguy has joined #symbiflow
ovf has quit [Ping timeout: 264 seconds]
mats has quit [Ping timeout: 264 seconds]
ovf has joined #symbiflow
mats has joined #symbiflow
OmniMancer has joined #symbiflow
mats_ has joined #symbiflow
mats has quit [Ping timeout: 252 seconds]
mats_ is now known as mats
Maya-sama has joined #symbiflow
Miyu has quit [Ping timeout: 246 seconds]
Maya-sama is now known as Miyu
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
futarisIRCcloud has joined #symbiflow
citypw has quit [Ping timeout: 252 seconds]
_whitelogger has joined #symbiflow
galv[m] has joined #symbiflow
futarisIRCcloud has quit [Quit: Connection closed for inactivity]
nrossi has joined #symbiflow
xobs1 has joined #symbiflow
mrhat2010[m] has joined #symbiflow
zeigren has joined #symbiflow
<sf-slack2> <kgugala> @litghost I'm looking at the F6MUX and there is no interconnect generated for this pb_type
<sf-slack2> <kgugala> in current arch-defs we get it like that https://pastebin.com/y9PWFZpT
<tpb> Title: BEL_MX-F6MUX - Pastebin.com (at pastebin.com)
<sf-slack2> <kgugala> In the pb_type generated from verilog I have https://pastebin.com/gnzS722e
<tpb> Title: BEL_MX-F6MUX - Pastebin.com (at pastebin.com)
<sf-slack2> <kgugala> and vpr fails on missing interconnect
<sf-slack2> <kgugala> Am I missing something?
zeigren has quit [Remote host closed the connection]
galv[m] has quit [Read error: Connection reset by peer]
nrossi has quit [Remote host closed the connection]
mrhat2010[m] has quit [Remote host closed the connection]
xobs1 has quit [Read error: Connection reset by peer]
<sf-slack2> <mkurc> @kgugala The first thing I see is that there is no `blif_model=".subckt MUXF6"` in the pb_type tag in the one generated from verilog.
<sf-slack2> <kgugala> yes, I know that
<sf-slack2> <kgugala> is that causing the troubles?
<sf-slack2> <mkurc> I guess that without it the VPR will not know that it should use the pb_type for MUXF6 from the eblif file.
<sf-slack2> <mkurc> *that pb_type
<sf-slack2> <mkurc> There is a statement that for a "primitive only" pb_type the blif_model is required
<sf-slack2> <kgugala> OK blif_model there solves the case
<sf-slack2> <acomodi> if I am not wrong, VPR expects an `interconnect` tag as well which is not optional. If it is not present in the pb_type.xml it will fail (I think it could be empty though: `<interconnect/>`)
<sf-slack2> <kgugala> it does not fail when blif_model is set
<sf-slack2> <kgugala> right now I emit this https://pastebin.com/T94Dttju
<tpb> Title: BEL_BB-F6MUX_blif - Pastebin.com (at pastebin.com)
<sf-slack2> <kgugala> and it passes the check
<sf-slack2> <mkurc> I guess that the primitive pb_types do not need interconnect as there is nothing inside. They are just empty shells with pins which reference BLIF models. Though it is not stated explicitly in the documentation (at least I haven't found it).
<sf-slack2> <acomodi> Yeah, that makes sense
OmniMancer has quit [Quit: Leaving.]
proteusguy has quit [Ping timeout: 246 seconds]
citypw has joined #symbiflow
galv[m] has joined #symbiflow
i8hantanu has joined #symbiflow
ovf has quit []
ovf has joined #symbiflow
xobs has joined #symbiflow
nrossi has joined #symbiflow
zeigren has joined #symbiflow
mrhat2010[m] has joined #symbiflow
<litghost> Primitive pb_types are required to not have interconnect
<litghost> And primitive pb_types are identified by their use of the blif_model property
<mithro> Morning
citypw has quit [Ping timeout: 268 seconds]
<tpb> Title: prjxray/kokoro-cfg.py at master · SymbiFlow/prjxray · GitHub (at github.com)
<tpb> Title: Improve timing model support for 7-series · Issue #293 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack2> <mkurc> @litghost There is an attribute called "ptc" in the "graph_node" table. What is it used for ?
Vonter has quit [Ping timeout: 245 seconds]
<sf-slack2> <kgugala> @elms thanks
<sf-slack2> <kgugala> Those asterisks in PLL's do look like spec violation
<litghost> mkurc: It is overloaded, but for CHANX and CHANY it is to assign visual locations in the VPR renderer. ptc's are handled automatically via the channels2 code
<tpb> Title: symbiflow-arch-defs/prjxray_create_edges.py at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack2> <mkurc> @litghost Ok, thanks
i8hantanu has quit [Quit: Connection closed for inactivity]
Vonter has joined #symbiflow
Vonter has quit [Max SendQ exceeded]
Vonter has joined #symbiflow
<litghost> kgugala: Do you want me to take a crack on solving the v2x/ntemplate dependency? Or do you have most of a solution ready?
<sf-slack2> <kgugala> I'll take a look on that today. I need to understand that if I'm about to implement the whole arch.xml generation from verilog
<hackerfoo> litghost: I ran the script and got this error: ERROR: [Vivado 12-2285] Cannot set LOC property of instance
<hackerfoo> 'CLBLM_L_X10Y125_SLICE_X12Y125_RAM32X1D_CD', for bel C6LUT Element
<hackerfoo> ...(truncated)
<tpb> Title: log.txt · GitHub (at gist.github.com)
<litghost> hackerfoo: Must be a bug in the fasm2v annotations. Check what the placer is doing versus what VPR did
<litghost> kgugala/acomodi: Are either of you planning on fixing up https://github.com/SymbiFlow/symbiflow-arch-defs/pull/316 today? If not, I can do that so we have a base to extend the v2x tests
<tpb> Title: WIP: Improve the Verilog to XML conversion process by acomodi · Pull Request #316 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack2> <acomodi> litghost: I can take a look on that and fix all. I will probably squash all the commits in chunks to make thinks clearer
<litghost> acomodi: Agreed, sounds good
qfire has joined #symbiflow
<hackerfoo> I got it to finish without errors by ripping out the UART and ERROR_OUTPUT_LOGIC in ram_test.v.
<hackerfoo> Although it generated a bad line in the TCL script: set_property FIXED_ROUTE [list ] $net
<hackerfoo> So I commented that out. I'm going to see if I can fix it.
<tpb> Title: symbiflow-arch-defs/common_slice.sim.v at 8ce13bac25af2dab1203558aa03dc344c80c61ac · mithro/symbiflow-arch-defs · GitHub (at github.com)
<mithro> litghost: Need to replace it with the carry0 and carry with split fabric primitives
<litghost> mithro: Ya, it needs to be carry0 and 3 carry's
<mithro> litghost: Did you want to do that while I grab lunch, or should I do it when back?
<litghost> mithro: Go ahead and do it
<mithro> I'm going to grab some lunch first I think
<mithro> Be back in 15
<litghost> mithro: I will say that the slicel.sim.v needs a lot of work to actually match the xml
<litghost> mithro: And there are missing features in v2x to actually output the current XML
ZipCPU has quit [Ping timeout: 258 seconds]
ZipCPU has joined #symbiflow
<mithro> litghost: What apart from fasm + pack patterns?
<litghost> Big feature that is missing is multiple copies of a model
<tpb> Title: v2x features to replace XML generation · Issue #595 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack2> <kgugala> @litghost @mithro yeah it hit me too
<sf-slack2> <kgugala> this is a serious issue
<litghost> kgugala: I was waiting util the other v2x stuff lands
<litghost> kgugala: In particular the depedency work you are doing and the PR that acomodi is fixing up
<sf-slack2> <kgugala> I think dependency should be ready soon
<sf-slack2> <acomodi> litghost: I am currently fixing the PR, just pushed a cleaner version
<litghost> acomodi: Okay, thanks
<mithro> litghost: I thought I had a fix for that somewhere...
<sf-slack2> <acomodi> litghost: I still need to go through your comments on the PR and fix them now
<litghost> acomodi: Okay
<sf-slack2> <acomodi> litghost, mithro: there is one thing though that I should fix which is the direct connections generated from v2x that I have modified 3 months ago https://github.com/SymbiFlow/symbiflow-arch-defs/pull/183
<tpb> Title: Rework the XML format for pb_type to better allow composition by mithro · Pull Request #183 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> It's unclear the value of https://github.com/SymbiFlow/symbiflow-arch-defs/pull/183 given that we are planning on generating everything
<tpb> Title: Rework the XML format for pb_type to better allow composition by mithro · Pull Request #183 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack2> <acomodi> in that PR, which is now closed, was stated that the `direct` connections should change from `<direct input="XXXX.CLOCK_ENABLE" output="in_cen.EN" name="XXXX">` to `<direct><port name="CLOCK_ENABLE" type="input"/> <port name="EN" type="output" from="in_cen"/></direct>`
<litghost> https://github.com/SymbiFlow/symbiflow-arch-defs/pull/183 removes redundancy at the cost of hurting readability
<tpb> Title: Rework the XML format for pb_type to better allow composition by mithro · Pull Request #183 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<mithro> Well yosys now passes the slicel.sim.v model!
<litghost> yay
<sf-slack2> <acomodi> Yep, should I leave the things as they are right now (input and output are not in separate tags) then?
<mithro> but netlistsvg fails on the constants...
<litghost> In addition the new syntax I don't believe handles arrays of modules
<litghost> acomodi: Leave the direct connects as they are
<sf-slack2> <acomodi> litghost: all right
<mithro> file:///usr/local/google/home/tansell/github/SymbiFlow/symbiflow-arch-defs/build/xc7/primitives/slicel/slicel.bb.svg https://usercontent.irccloud-cdn.com/file/myqiYNtS/image.png
<mithro> Not the best layout....
<litghost> Nope
<litghost> FYI, the first carry should be CARRY0
<litghost> and the PRECYINIT mux doesn't match the XML structure
<mithro> litghost: Yeah there are some issues -> file:///usr/local/google/home/tansell/github/SymbiFlow/symbiflow-arch-defs/build/xc7/primitives/slicel/slicel.flat.svg https://usercontent.irccloud-cdn.com/file/x6n6XWd9/image.png
<mithro> litghost: Where does CARRY0_CONST goes where?
<litghost> root of the chain
<litghost> in the SLICE
<litghost> Just look at the XML
<mithro> litghost: Oh, I see why muxgen isn't used as much anymore
<mithro> litghost: Also, it seems PRECYINIT_MUX just connects AX to CI_INIT ?
<litghost> litghost: Ya, C0 and C1 are now handled in synthesis
<litghost> mithro: And treated as parameters, rather than routed
<litghost> mithro: I could add them back as constant sources in the pb_type, but I'm following the principle, if you can do it in synthesis, do that
<sf-slack2> <acomodi> litghost, mithro: I have checked the status of the v2x PR (https://github.com/SymbiFlow/symbiflow-arch-defs/pull/316) I have noticed that some v2x tests will fail if some assertions are not commented out. I guess that when we have green travis we should merge the PR and then work on solving the issues to the tool.
<tpb> Title: WIP: Improve the Verilog to XML conversion process by acomodi · Pull Request #316 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> acomodi: Let's get a green CI on merge, and put commented out tests in an issue with a fix list
<litghost> ya
<litghost> CO_FABRIC should do somewhere
<sf-slack2> <acomodi> litghost: how to automatic reformat in arch-defs? I got this in travis CI https://pastebin.com/4CWkkjnv
<tpb> Title: Scanning dependencies of target check_python yapf needs to reformat /home/travi - Pastebin.com (at pastebin.com)
<litghost> make format_python
<sf-slack2> <acomodi> right, thanks
<mithro> litghost: I think we should add `make format` which runs `make format_python` and the others...
<litghost> mithro: Sure
<litghost> mithro: I believe elms was working on a PR to format other types that does that
<sf-slack2> <acomodi> i got some files which are not related to v2x formatted as well
<sf-slack2> <acomodi> should i just add them as well in your opinion?
<litghost> acomodi: That is a side affect of a yapf change
<mithro> acomodi: Check your yapf version -- see pull request for litghost
<litghost> acomodi: Merge with master
<mithro> be back in 30m
<sf-slack2> <acomodi> Ok
<sf-slack2> <acomodi> litghost: now `testarch presubmit` completes successfully on CI, I expect the same to happen for the others. I've pushed format corrections and hopefully CI will be green on everything
<litghost> ok
<litghost> Please address https://github.com/SymbiFlow/symbiflow-arch-defs/pull/316/files#r275509720 and also modify the CI scripts to build the v2x tests
<tpb> Title: WIP: Improve the Verilog to XML conversion process by acomodi · Pull Request #316 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> I guess you added https://github.com/SymbiFlow/symbiflow-arch-defs/pull/316/files#diff-782ab5f76515b2b0ae739890283333a2R58 to "all" so the all target will run them
<tpb> Title: WIP: Improve the Verilog to XML conversion process by acomodi · Pull Request #316 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> acomodi: Assuming CI is green, please address the remaining PR comments. They are pretty small
<sf-slack2> <acomodi> So, actually for the time being I have disabled the whole `tests` directory
<sf-slack2> <acomodi> Yep, I am on it
Vonter has quit [Read error: Connection reset by peer]
<litghost> acomodi: Why tests? It was working on the previous master?
Vonter has joined #symbiflow
<litghost> Ah, you mean utils/vlog/tests
<litghost> Not tests
<sf-slack2> <acomodi> yep, sorry utils/vlog/tests
<sf-slack2> <acomodi> they have been added with #316 PR. I suggest that for now we wait for green CI and merge. And think about its solution afterwards. I have opened a ticket for that already. I'll solve the other changes to be done not related to the vlog tests and I think it could be good to go
<mithro> Back now
<hackerfoo> Is there a way to feed a clock signal into clk during simulation in Vivado? Or should I generate my own clock.
<mithro> hackerfoo: I think you have to generate your own
<mithro> hackerfoo: Maybe ask on ##openfpga?
<sf-slack2> <acomodi> litghost: done, ice40 previously failed, but it was for an http issue while downloading conda
<litghost> hackerfoo: I usually just force it
<litghost> hackerfoo: Right click the clk and then "Force clock ..."
<mithro> litghost: Is there a way we can make cmake symlink the files into the build directory?
<hackerfoo> Thanks, I just found that.
<tpb> Title: cmake(1) CMake 3.2.3 Documentation (at cmake.org)
<tpb> Title: cmake(1) CMake 3.2.3 Documentation (at cmake.org)
<mithro> litghost: So they should be copied into the build directory?
<litghost> mithro: Can you reprahse?
<mithro> litghost: I have an autolinter running on my editor, if I open a file in xc7/primitives/... it gets all angry about missing includes (for the auto-generated files). If I open it in build/xc7/primitives/.. it gets overwritten every build...
<litghost> mithro: Yes
<litghost> mithro: What's the question?
<mithro> litghost: If it was a symlink instead then I could open it in build/xc7/xxx and but it would actually be changing the xc7/xxx file...
<litghost> mithro: Yes, but it would only work on linux
<mithro> litghost: I don
<tpb> Title: symbiflow-arch-defs/file_targets.cmake at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<mithro> litghost: I don't know we support anything but linux at the moment?
<mithro> litghost: Would it be okay if I made that an option to do linking?
<litghost> mithro: Sure
<litghost> mithro: I don't mind
qfire has quit [Quit: Page closed]
<hackerfoo> I'm getting 502s errors from Conda on Kokoro: CondaHTTPError: HTTP 502 BAD GATEWAY for url <https://conda.anaconda.org/symbiflow/noarch/repodata.json>
<hackerfoo> Should I just restart it somehow?
<hackerfoo> Or just merge since it's pretty minor: https://github.com/SymbiFlow/symbiflow-arch-defs/pull/600
<tpb> Title: Fix typos in clb_models.py affecting the RAM32X1D LUT mode by HackerFoo · Pull Request #600 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> Ya restart
<litghost> There is a kokoro tag "kokoro:force-run"
<litghost> hackerfoo: In your case, do wait for travis, because it's checking for python formatting
<hackerfoo> Okay
<mithro> litghost: Why is it CARRY0_CONST and not CARRY0 ?
<litghost> CARRY0 is the thing we get out of the yosys techmap
<litghost> CARRY0_CONST handles the constant bits
<mithro> litghost: So CARRY0_CONST isn't used in the pb_types at all?
<litghost> opposite
<litghost> CARRY0 isn't used, CARRY0_CONST is
<tpb> Title: symbiflow-arch-defs/carry0.pb_type.xml at master · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<litghost> Well I guess you have to look carefully, the pb_type name is CARRY0, the subckt is CARRY0_CONST
<mithro> litghost: Oh - I see what is going on, the carry0.sim.v isn't in the CMakelists.txt file...
<litghost> mithro: And isn't used
<hackerfoo> I tried removing all connections to O5 in the model and pb_type for dpram32, but ram_test still doesn't work on hardware. So that isn't the problem. It must just be the routing, but I can't find a good way to check that things are routed properly.
<hackerfoo> I think I might just route everthing to switches and lights on the board.
<litghost> hackerfoo: That's what the test in xc7/tests/dram does
<litghost> hackerfoo: And how I debugged RAM64X1D to begin with
<hackerfoo> I wish I had just stuck with that from the start. Maybe. If I can figure it out that way.
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow