<_whitenotifier-5> [symbiflow-arch-defs] YFWang97 opened issue #1256: make buttons_basys3_vivado failed due python assertion - https://git.io/JexcP
<sf-slack> <davidetoldo> Hi guys, chiming into the project after a couple years again. How is it going? Are Artix 7 devices working well with SymbiFlow now? Saw the new front page, looks promising :-):v::skin-tone-3:
<sf-slack> <davidetoldo> I still have my Nexys 4 DDR and am interested in getting back into FPGA again :)
Bertl_oO is now known as Bertl_zZ
OmniMancer has joined #symbiflow
allenlorenz has joined #symbiflow
Vonter has quit [Ping timeout: 260 seconds]
Vonter has joined #symbiflow
titanbiscuit has quit [Ping timeout: 250 seconds]
titanbiscuit has joined #symbiflow
proteus-guy has quit [Ping timeout: 240 seconds]
proteus-guy has joined #symbiflow
proteus-guy has quit [Excess Flood]
proteus-guy has joined #symbiflow
proteus-guy has quit [Ping timeout: 260 seconds]
proteus-guy has joined #symbiflow
Vonter has quit [Ping timeout: 265 seconds]
rvalles_ has quit [Ping timeout: 260 seconds]
rvalles_ has joined #symbiflow
citypw has joined #symbiflow
proteus-guy has quit [Ping timeout: 240 seconds]
Vonter has joined #symbiflow
_whitelogger has joined #symbiflow
_whitelogger has joined #symbiflow
<sf-slack> <brock.michael12345> Hi all, similar to above... Though I am just getting started with FPGAs..... I'm wondering how I can help? I see the effort to document the bitstream.... I have a reasonable amount of compute power absolutely to me, would running all the experiments and tests be of help?
<Xiretza> sf-slack: heh, I came in here asking the same question a while ago, and it turns out the fuzzers are run exhaustively by the CI already. what needs to be done apparently requires actual knowledge and skill (which I don't really have either), things like writing minitests and new fuzzers.
<sf-slack> <brock.michael12345> Yeah ack...... Both of those I currently lack.... I'll keep poking around to see what I can do to help...
<sf-slack> <kgugala> Hi @davidetoldo @brock.michael12345 @Xiretza
<sf-slack> <kgugala> there are quite a few things left in the documentation effort
<sf-slack> <kgugala> for 7 series those are mainly dedicated blocks like transreceivers, pcie, dsp
<sf-slack> <kgugala> there is some work left on IOs and IOstandards
<sf-slack> <kgugala> If you have FPGA skill you can always start from adding a minitests for certain fetures of those
<sf-slack> <kgugala> also there are some tasks marked as "good first issue" on github
<sf-slack> <kgugala> I thinks this may be a good start point
<sf-slack> <kgugala> we're here and can always discuss things in details either on this channel or in GitHub issues
<sf-slack> <davidetoldo> Okay thanks! I’ll have a look if I can contribute something wrt those minitests. I do know Verilog quite well and have worked with FPGA and Vivado before.
<sf-slack> <davidetoldo> Is it possible to use SymbiFlow with the 7 series even though it’s not 100% documented? Like, can you use part of the LUTs then?
<sf-slack> <kgugala> yes, that works
<sf-slack> <kgugala> we have some problems with IO standards preventing external DDRs from working
<sf-slack> <kgugala> but LUTs, FFs, BRAMs, DRAMs, SERDESes work fine
<sf-slack> <kgugala> simple IO's also work
<sf-slack> <kgugala> so you can implement a CPU using only BRAMs and uise e.g UART/I2C for communication
Bertl_zZ is now known as Bertl
<sf-slack> <kgugala> there is still a lot of work to do
<sf-slack> <kgugala> but if you want to play around with it and experiment, you already can
<sf-slack> <brock.michael12345> Thanks for the tip about the issues! Which repos should o be looking at for those? Mainly things that don't require in depth existing knowledge of an fpga... I'm a young Ee and good at picking things up, but this amount other smaller experiments puts my first on depth usage of fpgas
<sf-slack> <kgugala> so this one https://github.com/SymbiFlow/prjxray is for documenting 7 series
<tpb> Title: GitHub - SymbiFlow/prjxray: Documenting the Xilinx 7-series bit-stream format. (at github.com)
<sf-slack> <kgugala> this one https://github.com/SymbiFlow/symbiflow-arch-defs is for toolchain
<tpb> Title: GitHub - SymbiFlow/symbiflow-arch-defs: FOSS architecture definitions of FPGA hardware useful for doing PnR device generation. (at github.com)
citypw has quit [Ping timeout: 268 seconds]
<sf-slack> <brock.michael12345> Ahh that makes more sense now.... I also had a massive pebcak.... Most of the time I've been looking into this has been from my phone.. But the github app for some reason isn't loading the issues.. But I opened that on Firefox and now they appear... Now I just feel silly...
proteus-guy has joined #symbiflow
ansh has joined #symbiflow
proteus-guy has quit [Remote host closed the connection]
proteus-guy has joined #symbiflow
ansh has quit [Ping timeout: 260 seconds]
proteus-guy has quit [Read error: Connection reset by peer]
proteus-guy has joined #symbiflow
OmniMancer has quit [Quit: Leaving.]
allenlorenz has quit [Ping timeout: 260 seconds]
allenlorenz has joined #symbiflow
<Xiretza> daveshah: I know nextpnr-xilinx is highly experimental and all, but I can't get it to compile anything at all, including the two arty examples. it always ends in "failed to find a route".
<daveshah> Xiretza: I just pushed a fix for that, I think
<daveshah> It was a result of a Yosys change that created inv cells
<Xiretza> daveshah: oh, whoops, sorry about that. always pull before complaining ;) I knew I had gotten farther before, but with so many interconnected parts I didn't think of downgrading yosys.
<daveshah> Weirdly I had the same thing this morning
<Xiretza> great, now that that works again, is there free tooling for writing bitstreams to Arty boards yet or does that still need Vivado?
<daveshah> Either xc3sprog or openocd may well work but I haven't personally tried either
<sf-slack> <kgugala> you can use: xc3prog -c nexys4 /path/to/bitstream.bit
<sf-slack> <kgugala> this works with on-board uUSB port on Arty (no platform cable required)
allenlorenz has quit [Quit: Leaving.]
<Xiretza> xc3sprog is exactly what I was looking for, thanks! After wrestling with broken packaging for a bit I have some pretty blinking attosoc LEDs :)
<daveshah> :)
Bertl is now known as Bertl_oO
<Xiretza> oh my, a fully free VHDL-to-xc7 workflow, what a time to be alive
<Xiretza> 21s from `make flash` to blinkenlights, this changes *everything* - while there's not enough functionality to synthesize the complete design I'm working on yet, it gives me huge hope for the near future :) testing anything on hardware previsouly took at least 2 minutes per run
<daveshah> Xiretza: is your design open source? If so a link to it in a GH issue would be very useful to keep in mind
<Xiretza> daveshah: indeed, it's a school/hobby project (simple-as-feasible rv32i core with some peripherals), I'll be sure to link it once I clean it up a bit more (have to do that anyway...). At the moment it's also still stuck on a few missing ghdl features, so not too much use for nextpnr testing.
<daveshah> Ah I see
<daveshah> I haven't followed the ghdl side of things so much but it was improving well the least time I looked
<daveshah> *last
<Xiretza> oh yes, tristan is an absolute beast, he almost single-handedly got the synthesis side of things to a point where it can handle most reasonable designs (I like to use all the language features I can get my hands on) - all in the last couple months
titanbiscuit has quit [Ping timeout: 240 seconds]
titanbiscuit has joined #symbiflow
clacktronics has joined #symbiflow
Guest75359 has joined #symbiflow
Guest75359 has quit [Ping timeout: 248 seconds]
duck2 has quit [Quit: The Lounge - https://thelounge.chat]
duck2 has joined #symbiflow
<litghost> Next steps for the DSP is either:
<litghost> 1. Keep expanding the DSP fuzzer in a piece meal fashion
<litghost> 2. Write a minitest for the DSP in a useful mode, and determine what bits are still unknown. After adding the minitest (e.g. open a PR), then focus on burning down the remaining unknown bit list
<litghost> > <nfrancque> Hey all. Looking at the dsp fuzzer to get started helping out per @mithro's advice. Anyone know if there is already a plan in place for dsp's or just keep trying to add stuff?
<litghost> I've found that path #1 works well when there are a lot of bits remaining. E.g. just trying to write fuzzers for each parameter.
<litghost> Path #2 works well when the number of remaining bits on the DSP is lower, and you need a forcing function to drive the unknown bit count to 0
<heijligen> hey, is it possible to use the Zynq 7000 without the PS7 ip core from xilinx? I've only managed to run either an linux on the arm cores or an bitstram (https://github.com/heijligen/zynq_yosys)
<tpb> Title: GitHub - heijligen/zynq_yosys (at github.com)
<daveshah> You can use the primitive directly but it's not that fun (I'd recommend looking at the generated IP as a base)
<daveshah> I've done similar with the UltraScale PS8 before
<heijligen> daveshah: so looking at the verilog of the ps7 wrapper? how is the arm subsystem connectet to the fpga logic. I saw wires with names matching the ps7 documentation in the prjxray db, but I'm not so into the project to get what it means
<daveshah> The interface is some kind of AXI
<daveshah> Or rather several AXI interfaces
<daveshah> You can find the blackbox of the primitive if you search for PS7 here:
<heijligen> so i can use the primitives from yosys as input / output of my verilog module?
<heijligen> is verilog case sensitive? for using with ghdl yosys ghdlsynth-beta
<daveshah> You can instantiate the primitive in your Verilog or VHDL
<daveshah> Verilog is case sensitive, I'm not 100% sure how ghdlsynth handles this
<heijligen> when i create an edif with yosys and ghdl my variables and signals got all lowercase
<heijligen> daveshah: thanks for this. Great job you're doing!
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow