clacktronics has joined #symbiflow
Seraxis has quit [Quit: おやすみ]
Seraxis has joined #symbiflow
clacktronics has quit [Quit: Leaving]
freemint has joined #symbiflow
space_zealot has joined #symbiflow
siriusfox has joined #symbiflow
Vonter has quit [Ping timeout: 268 seconds]
Vonter has joined #symbiflow
space_zealot has quit [Ping timeout: 260 seconds]
freemint has quit [Ping timeout: 248 seconds]
Bertl_oO is now known as Bertl_zZ
proteus-guy has joined #symbiflow
proteus-guy has quit [Client Quit]
proteus-guy has joined #symbiflow
_whitelogger has joined #symbiflow
proteus-guy has quit [Ping timeout: 268 seconds]
adjtm has quit [Remote host closed the connection]
adjtm has joined #symbiflow
proteus-guy has joined #symbiflow
Vonter has quit [Ping timeout: 268 seconds]
Vonter has joined #symbiflow
Vonter has quit [Ping timeout: 265 seconds]
Vonter has joined #symbiflow
rvalles_ has quit [Ping timeout: 246 seconds]
rvalles_ has joined #symbiflow
tux3_ has joined #symbiflow
tux3 has quit [Ping timeout: 246 seconds]
celadon has quit [Ping timeout: 265 seconds]
celadon has joined #symbiflow
<tpb> Title: Improve VPR Graphics Router Expansion Visualization by kmurray · Pull Request #1090 · verilog-to-routing/vtr-verilog-to-routing · GitHub (at github.com)
<_whitenotifier-3> [yosys-symbiflow-plugins] tmichalak opened issue #6: Travis: Add travis utility functions to for adding sections instead of echos - https://git.io/JvOuE
proteus-guy has quit [Ping timeout: 268 seconds]
seraxis has quit [Quit: おやすみ]
synaption[m] has left #symbiflow ["Kicked by @appservice-irc:matrix.org : User has been idle for 30+ days."]
synaption[m] has quit [Quit: User has been idle for 30+ days.]
seraxis has joined #symbiflow
proteus-guy has joined #symbiflow
seraxis has quit [Quit: おやすみ]
luaraneda has left #symbiflow ["Kicked by @appservice-irc:matrix.org : User has been idle for 30+ days."]
Bertl_zZ is now known as Bertl
space_zealot has joined #symbiflow
galv[m] has quit [Quit: User has been idle for 30+ days.]
lromor[m] has quit [Quit: User has been idle for 30+ days.]
hzeller[m] has quit [Quit: User has been idle for 30+ days.]
heijligen has joined #symbiflow
seraxis has joined #symbiflow
space_zealot has quit [Ping timeout: 260 seconds]
grummel has quit [Quit: WeeChat 1.9.1]
space_zealot has joined #symbiflow
<mithro> acomdi: Quick - click the merge button! -> https://github.com/SymbiFlow/symbiflow-arch-defs/pull/1267/files
<tpb> Title: Add penalty cost switch to avoid wrong routes by acomodi · Pull Request #1267 · SymbiFlow/symbiflow-arch-defs · GitHub (at github.com)
<sf-slack1> <acomodi> @mithro Done
<mithro> Looks like kmurray is back now
proteus-guy has quit [Ping timeout: 265 seconds]
seraxis has quit [Quit: おやすみ]
seraxis has joined #symbiflow
seraxis has quit [Client Quit]
seraxis has joined #symbiflow
seraxis has quit [Remote host closed the connection]
seraxis has joined #symbiflow
seraxis has quit [Client Quit]
seraxis has joined #symbiflow
seraxis has joined #symbiflow
<sf-slack1> <acomodi> litghost/mithro: By looking at the dcp, placement is kinda spread across many clock regions, while the minitest occupies only one + a little portion of the adjacent clock regions
seraxis has quit [Client Quit]
seraxis has joined #symbiflow
<sf-slack1> <acomodi> I wonder whether it would be worth adding a way to constrain the placer to use a specific area. I have looked through the code and it should be fairly straightforward
<litghost> acomodi: The placer has a tuning parameter that changes wirelength vs timing strength
<litghost> acomodi: You could try switch the placer to a heavier wirelength focus, and see what happens
<sf-slack1> <acomodi> litghost: Ok, I'll try that, thanks
seraxis has quit [Client Quit]
seraxis has joined #symbiflow
freemint has joined #symbiflow
<mithro> acomodi: What does vivado say now when you back import into it
space_zealot has quit [Ping timeout: 260 seconds]
seraxis has quit [Quit: おやすみ]
<sf-slack1> <acomodi> mithro: so, when I open the dcp it actually says nothing, but I can generate a series of reports I guess
<sf-slack1> <acomodi> Like power, timing and so on
seraxis has joined #symbiflow
seraxis has quit [Quit: おやすみ]
seraxis has joined #symbiflow
<sf-slack1> <acomodi> mithro: here are the reports created from the dcp (util, power and timing) https://file.io/KC2FqI
<mithro> acomodi: That is a 404 for me
<sf-slack1> <acomodi> mithro: Ya, this should work: https://filebin.net/0q3nqcvv67vqjymw
<tpb> Title: Filebin :: bin 0q3nqcvv67vqjymw (at filebin.net)
freemint has quit [Remote host closed the connection]
freemint has joined #symbiflow
<mithro> acomodi: That timing report appears to be missing a lot of things
space_zealot has joined #symbiflow
<tpb> Title: HDMI2USB-firmware-prebuilt/top_timing.rpt at master · timvideos/HDMI2USB-firmware-prebuilt · GitHub (at github.com)
<tpb> Title: HDMI2USB-firmware-prebuilt/top_timing.rpt at bf8ee7bf8ec3569980b02ab39c9700bf4b9ce5a9 · timvideos/HDMI2USB-firmware-prebuilt · GitHub (at github.com)
<sf-slack1> <acomodi> mithro: Yeah, I am trying to get more info there, but it seems to output one path only. The `report_timing_summary` option outputs something that does not include one single timing information (there are a bunch of N/As) and blank tables
<tpb> Title: HDMI2USB-firmware-prebuilt/top.tcl at master · timvideos/HDMI2USB-firmware-prebuilt · GitHub (at github.com)
<mithro> acomodi: Are you loading the xdc file?
<sf-slack1> <acomodi> @mithro: Yep, the design checkpoint includes that
<mithro> acomodi: Great, just checking...
<sf-slack1> <acomodi> mithro: I think that we need to include reports extraction during fasm2bels
<mithro> hrm
<mithro> ?
<sf-slack1> <acomodi> I mean, when we generate the bitstream from Vivado through fasm2bels, we need also to output all the possible reports, not only the .dcp, .bit.fasm, and .bit
citypw has quit [Ping timeout: 268 seconds]
<litghost> I believe we might be missing "create_clocks" on some of the interior clocks
<litghost> When I wrote the initial fasm2bels tcl, there was only 1 clock, no PLL, etc
Bertl is now known as Bertl_oO
<mithro> acomodi: So - you need to put the sdc file somewhere
freemint has quit [Ping timeout: 245 seconds]
lethalbit has quit [*.net *.split]
lethalbit has joined #symbiflow
seraxis has quit [Quit: おやすみ]
seraxis has joined #symbiflow
nurelin_ has quit [Quit: WeeChat 2.6]
nurelin has joined #symbiflow
freemint has joined #symbiflow
space_zealot has quit [Ping timeout: 260 seconds]
_whitelogger has joined #symbiflow
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow