<heijligen> kgugala: the axi ps7 example is now working. Thanks
Vonter_ has quit [Ping timeout: 240 seconds]
<_whitenotifier-5> [sv-tests] mithro opened issue #548: Add Verilator in multiple modes - https://git.io/Jvva4
<_whitenotifier-5> [sv-tests] mithro opened issue #549: Some basejump_stl files are abstract base classes and should be marked parse only - https://git.io/JvvaK
Bertl is now known as Bertl_zZ
citypw has joined #symbiflow
OmniMancer has joined #symbiflow
citypw has quit [Quit: Leaving]
citypw has joined #symbiflow
tmichalak has quit [Quit: WeeChat 2.4]
mario_h has joined #symbiflow
az0re has joined #symbiflow
mario_h has quit [Ping timeout: 268 seconds]
rvalles_ has quit [Ping timeout: 248 seconds]
mario_h has joined #symbiflow
clacktronics has joined #symbiflow
rvalles_ has joined #symbiflow
az0re has quit [Ping timeout: 240 seconds]
proteusguy has quit [Ping timeout: 268 seconds]
az0re has joined #symbiflow
proteusguy has joined #symbiflow
Bertl_zZ is now known as Bertl
mario_h has quit [Quit: Leaving]
OmniMancer has quit [Quit: Leaving.]
citypw has quit [Ping timeout: 268 seconds]
citypw has joined #symbiflow
piegames1 has joined #symbiflow
Bertl is now known as Bertl_oO
clacktronics has quit [Quit: Leaving]
TheHolyC has quit [Max SendQ exceeded]
TheHolyC has joined #symbiflow
proteus-guy has joined #symbiflow
Vonter has joined #symbiflow
Vonter has quit [Max SendQ exceeded]
Vonter has joined #symbiflow
Vonter has quit [Max SendQ exceeded]
Vonter has joined #symbiflow
<hackerfoo> Any suggestions on how to identify the output from a BUFG that should be the root of a clock network in VPR?
<hackerfoo> The general idea is to route the part before and after a high fanout net in two stages, so I need to identify where the fanout happens, which should be the output of a buffer.
<hackerfoo> And so I think it makes sense to start with BUFGs.
renze has quit [Quit: Spaceserver reboot?!]
acomodi has joined #symbiflow
renze has joined #symbiflow
tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow