_florent_ changed the topic of #litex to: LiteX FPGA SoC builder and Cores / Github : https://github.com/enjoy-digital, https://github.com/litex-hub / Logs: https://freenode.irclog.whitequark.org/litex
tpb has quit [Remote host closed the connection]
tpb has joined #litex
Claude has joined #litex
Skip has quit [Remote host closed the connection]
scanakci has joined #litex
Degi has quit [Ping timeout: 260 seconds]
Degi has joined #litex
_whitelogger has joined #litex
_whitelogger has joined #litex
_whitelogger has joined #litex
scanakci has quit [Quit: Connection closed for inactivity]
<futarisIRCcloud> NB, I asked this on the 1BitSquared discord, but maybe someone else here has one spare... Anyone in Australia have a spare Acorn CLE-215+ that they want to sell?
HoloIRCUser2 has joined #litex
HoloIRCUser has quit [Ping timeout: 256 seconds]
HoloIRCUser has joined #litex
HoloIRCUser2 has quit [Ping timeout: 258 seconds]
HoloIRCUser has quit [Read error: Connection reset by peer]
HoloIRCUser2 has joined #litex
HoloIRCUser has joined #litex
HoloIRCUser2 has quit [Ping timeout: 246 seconds]
y2kbugger has quit [Ping timeout: 256 seconds]
esden has quit [Ping timeout: 272 seconds]
y2kbugger has joined #litex
esden has joined #litex
y2kbugger has quit [Ping timeout: 265 seconds]
y2kbugger has joined #litex
kgugala_ has joined #litex
kgugala has quit [Ping timeout: 256 seconds]
kgugala has joined #litex
kgugala_ has quit [Ping timeout: 246 seconds]
HoloIRCUser2 has joined #litex
HoloIRCUser has quit [Ping timeout: 244 seconds]
Skip has joined #litex
scanakci has joined #litex
kgugala has quit [Ping timeout: 256 seconds]
kgugala has joined #litex
kgugala_ has joined #litex
kgugala has quit [Ping timeout: 256 seconds]
<CarlFK> bunnie: kgugala_ https://paste.debian.net/1148594/
<tpb> Title: debian Pastezone (at paste.debian.net)
<CarlFK> btw - pi4 - I think I saw pi 1,2,3 mentioned somewhere. could 4 need new settings? in which case I'll just find a 3
CarlFK has left #litex [#litex]
HoloIRCUser has joined #litex
HoloIRCUser2 has quit [Ping timeout: 256 seconds]
HoloIRCUser2 has joined #litex
HoloIRCUser has quit [Ping timeout: 260 seconds]
<zyp> I'd like to synthesize a design with litedram for a sodimm connected to ecp5 and would like some pointers on how to get started
<zyp> I just finished fanout and initial connection, and would like to syntesize a design to sanity check the pinout before I start cleaning it up and length matching it
HoloIRCUser has joined #litex
HoloIRCUser2 has quit [Ping timeout: 272 seconds]
<tpb> Title: pergola_projects/__init__.py at master · kbeckmann/pergola_projects · GitHub (at github.com)
<futarisIRCcloud> 1440p30 or 1080p60 is doable.
<somlo> hmm, building --with-sdcard (on the nexys4ddr) is currently broken :( (https://github.com/enjoy-digital/litex/issues/540)
<tpb> Title: commit 0db350699 breaks `--with-sdcard` build for upstream LiteX · Issue #540 · enjoy-digital/litex · GitHub (at github.com)