ChanServ changed the topic of #nmigen to: nMigen hardware description language · code at https://github.com/nmigen · logs at https://freenode.irclog.whitequark.org/nmigen · IRC meetings each Monday at 1800 UTC · next meeting October 5th
<DaKnig> any plans to make pysim/cxxsim multithreaded?
<d1b2> <dub_dub_11> > there's an issue about PLLs on the tracker Looks like there is some info on altpll I could find and add to that 👍
<whitequark> DaKnig: nope
<whitequark> with cxxrtl in particular i believe that any sort of parallelism (other than SLP that clang etc can insert on their own) is out of scope and best handled as a part of a different project
<DaKnig> I see. simplicity is indeed important.
<whitequark> it's not even for simplicity's sake
<whitequark> but more that i do not have the necessary skills to design or maintain it
<whitequark> someone who has those is better off not being constrained by my goals
<DaKnig> what are your main goals for cxxsim and what are the contraints on it, other than your skills?
<whitequark> cxxsim or cxxrtl? those two are different
<DaKnig> cxxsim; isnt it trying to be a faster pysim? that's what I am interested in
<whitequark> cxxsim, yes
<whitequark> the way i chose to implement that is by adding cxxrtl to yosys and then using cxxrtl from python
<whitequark> this means that cxxsim de facto inherits the constraints on cxxsim
<DaKnig> cxxsim inherits the contrsints from itself?
<whitequark> the constraints on cxxrtl* sorry
Degi has quit [Ping timeout: 246 seconds]
Degi has joined #nmigen
_whitelogger has joined #nmigen
electronic_eel has quit [Ping timeout: 260 seconds]
electronic_eel has joined #nmigen
PyroPeter_ has joined #nmigen
PyroPeter has quit [Ping timeout: 256 seconds]
PyroPeter_ is now known as PyroPeter
cr1901_modern1 has quit [Quit: Leaving.]
cr1901_modern has joined #nmigen
<_whitenotifier-f> [nmigen-boards] ktemkin opened pull request #116: Genesys2 fixes - https://git.io/JUha0
hitomi2509 has joined #nmigen
FL4SHK has quit [Ping timeout: 256 seconds]
FL4SHK has joined #nmigen
feldim2425_ has joined #nmigen
feldim2425 has quit [Ping timeout: 265 seconds]
emily has quit [Quit: killed]
jfng has quit [Quit: killed]
cesar[m]1 has quit [Quit: killed]
jeanthom has joined #nmigen
emily has joined #nmigen
proteusguy has quit [Remote host closed the connection]
proteusguy has joined #nmigen
cesar[m]1 has joined #nmigen
jfng has joined #nmigen
FFY00 has quit [Ping timeout: 240 seconds]
FFY00 has joined #nmigen
_whitelogger has joined #nmigen
peepsalot has quit [Ping timeout: 265 seconds]
Chips4Makers has joined #nmigen
cesar[m]1 has joined #nmigen
cesar[m]1 has joined #nmigen
cesar[m]1 has quit [Changing host]
peepsalot has joined #nmigen
chipmuenk has joined #nmigen
jeanthom has quit [Ping timeout: 244 seconds]
guan has quit [Ping timeout: 260 seconds]
yuriks has quit [Ping timeout: 260 seconds]
yuriks has joined #nmigen
ktemkin has quit [Ping timeout: 260 seconds]
guan has joined #nmigen
ktemkin has joined #nmigen
jeanthom has joined #nmigen
alexhw has quit [Ping timeout: 244 seconds]
alexhw has joined #nmigen
jeanthom has quit [Remote host closed the connection]
jeanthom has joined #nmigen
hitomi2509 has quit [Quit: Nettalk6 - www.ntalk.de]
hitomi2509 has joined #nmigen
Asu has joined #nmigen
jimr has joined #nmigen
<ronyrus> hi, if I want "SYSCONFIG xxx" to land in the generated lpf file, where and how would be the proper place and way to put it?
<ronyrus> ah, looks like toolchain overrides: add_preferences is the place.
jeanthom has quit [Ping timeout: 272 seconds]
jimr has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
jimr has joined #nmigen
jeanthom has joined #nmigen
hitomi2509 has quit [Quit: Nettalk6 - www.ntalk.de]
<whitequark> yup
<FL4SHK> took a day off work
<FL4SHK> going to do 3D printing and long divider implementation stuff
jimr has quit [Quit: My MacBook has gone to sleep. ZZZzzz…]
<FL4SHK> I'm off tomorrow, though
<FL4SHK> different kind of off
<FL4SHK> didn't involve any PTO
<FL4SHK> specifically it's via my schedule setup
<FL4SHK> I'm off every other Friday
jeanthom has quit [Ping timeout: 240 seconds]
emeb has joined #nmigen
<_whitenotifier-f> [nmigen] H-S-S-11 commented on issue #425: Support for PLL primitives - https://git.io/JUj1l
<FL4SHK> I should make a CPU in nMigen that has non maskable interrupts
<FL4SHK> then I can use nMigen to generate NMIs
jeanthom has joined #nmigen
jeanthom has quit [Ping timeout: 272 seconds]
chipmuenk has quit [Quit: chipmuenk]
<d1b2> <dub_dub_11> further to my PLL questions earlier: how do I specify the location of the Verilog source?
<d1b2> <dub_dub_11> I've generated a PLL.v file in my quartus install location
<d1b2> <dub_dub_11> but idk how nmigen is supposed to know where to find it
<d1b2> <dub_dub_11> is it just a path?
<d1b2> <dub_dub_11> also should I be using ClockSignal, ResetSignal or just plain signals? the definition for ClockDomain indicates the latter
<Lofty> dub_dub_11: platform.add_file()
<d1b2> <dub_dub_11> ahh that makes sense
<d1b2> <dub_dub_11> ty
<Lofty> ClockSignal and ResetSignal are used to obtain the relevant signals for a domain
<Lofty> If you want to make a ClockDomain you want a plain Signal
<Lofty> That being said, what do you plan to do with the PLL? If you want to drive all your logic from it, you can use DomainRenamer to let the relevant logic use the sync domain
jeanthom has joined #nmigen
<d1b2> <dub_dub_11> yeah I want to drive the logic from it
<d1b2> <dub_dub_11> atm I did this by creating a new domain called sync, adding the relevant SIgnals to it then adding it to m.domains
<d1b2> <dub_dub_11> python sync = ClockDomain() clk50 = Signal() rst = Signal() m.d.comb += [ clk50.eq(platform.request("clk50")), rst.eq(platform.request("cpu_reset_n")), ] clk200 = Signal() pll_200M_0 = Instance("SIV_pll_200M", i_inclk0 = clk50, i_areset = rst, o_c0 = clk200, ) m.submodules += pll_200M_0 sync.clk = clk200 sync.rst = rst m.domains += sync
<d1b2> <dub_dub_11> with platform.add_file("C:\\intelFPGA\\18.0\\SIV_pll_200M.v", "read") above
Asu has quit [Remote host closed the connection]
<FL4SHK> dub_dub_11
<FL4SHK> I have a code example
<FL4SHK> I got a PLL working on my Cyclone V dev board
<d1b2> <dub_dub_11> ah ty
<FL4SHK> I also have VGA signal generation if you're into that
<FL4SHK> and a FIFO (though nMigen already provides a FIFO)
<d1b2> <dub_dub_11> python with open(pll_file_name) as f: platform.add_file(pll_file_name, f) ah I think my python skills are just off
<FL4SHK> were you looking at my code?
<FL4SHK> I think I want to do some cxxsim stuff
jeanthom has quit [Ping timeout: 265 seconds]