tpb has quit [Remote host closed the connection]
tpb has joined #symbiflow
timeltd has quit [Ping timeout: 258 seconds]
HEGAZY has quit [Quit: Konversation terminated!]
titanbiscuit has quit [Ping timeout: 260 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Quit: ZNC 1.7.4 - https://znc.in]
titanbiscuit has joined #symbiflow
citypw has joined #symbiflow
titanbiscuit has quit [Quit: ZNC 1.7.4 - https://znc.in]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 258 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 256 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 265 seconds]
titanbiscuit has joined #symbiflow
tbisker8 has joined #symbiflow
titanbiscuit has quit [Read error: Connection reset by peer]
tbisker8 has quit [Read error: Connection reset by peer]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Read error: Connection reset by peer]
titanbiscuit has joined #symbiflow
citypw has quit [Ping timeout: 255 seconds]
citypw has joined #symbiflow
citypw has quit [Ping timeout: 265 seconds]
citypw has joined #symbiflow
proteus-guy has quit [Ping timeout: 256 seconds]
OmniMancer has joined #symbiflow
OmniMancer1 has joined #symbiflow
OmniMancer has quit [Ping timeout: 255 seconds]
<_whitenotifier-3> [sv-tests] hzeller opened issue #676: Kokoro tests not always succeed recently - https://git.io/JvwJI
duck22 has joined #symbiflow
duck2 has quit [Ping timeout: 260 seconds]
nurelin_ has joined #symbiflow
nurelin has quit [Ping timeout: 260 seconds]
titanbiscuit has quit [Ping timeout: 256 seconds]
titanbiscuit has joined #symbiflow
az0re has quit [Remote host closed the connection]
titanbiscuit has quit [Ping timeout: 256 seconds]
titanbiscuit has joined #symbiflow
Bertl_oO is now known as Bertl_zZ
titanbiscuit has quit [Ping timeout: 268 seconds]
OmniMancer1 has quit [Quit: Leaving.]
titanbiscuit has joined #symbiflow
tbisker8 has joined #symbiflow
titanbiscuit has quit [Read error: Connection reset by peer]
tbisker8 has quit [Ping timeout: 258 seconds]
<_whitenotifier-3> [prjxray-bram-patch] kgugala opened issue #10: Setup CI - https://git.io/JvwYm
titanbiscuit has joined #symbiflow
tbisker8 has joined #symbiflow
titanbiscuit has quit [Ping timeout: 255 seconds]
HEGAZY has joined #symbiflow
timeltd has joined #symbiflow
tbisker8 has quit [Ping timeout: 258 seconds]
titanbiscuit has joined #symbiflow
clay_1 has joined #symbiflow
<clay_1> Hey hey people !
titanbiscuit has quit [Excess Flood]
titanbiscuit has joined #symbiflow
OmniMancer has joined #symbiflow
HEGAZY has quit [Ping timeout: 256 seconds]
OmniMancer1 has joined #symbiflow
OmniMancer has quit [Ping timeout: 268 seconds]
timeltd has quit [Ping timeout: 260 seconds]
titanbiscuit has quit [Ping timeout: 256 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 265 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Excess Flood]
timeltd has joined #symbiflow
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Read error: Connection reset by peer]
titanbiscuit has joined #symbiflow
HEGAZY has joined #symbiflow
titanbiscuit has quit [Ping timeout: 240 seconds]
proteusguy has quit [Ping timeout: 265 seconds]
m_hackerfoo has quit [Ping timeout: 265 seconds]
proteusguy has joined #symbiflow
m_hackerfoo has joined #symbiflow
timeltd has quit [Ping timeout: 258 seconds]
titanbiscuit has joined #symbiflow
timeltd has joined #symbiflow
titanbiscuit has quit [Ping timeout: 268 seconds]
<_whitenotifier-3> [yosys] kkumar23 opened issue #60: Branch : quicklogic : Issue while loading the edf file on spde "$_dlatch_p_.edi' " - https://git.io/Jvw8T
titanbiscuit has joined #symbiflow
timeltd has quit [Read error: Connection reset by peer]
timeltd has joined #symbiflow
timeltd has quit [Ping timeout: 256 seconds]
tbisker8 has joined #symbiflow
titanbiscuit has quit [Ping timeout: 272 seconds]
tbisker8 has quit [Excess Flood]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Read error: Connection reset by peer]
titanbiscuit has joined #symbiflow
Bertl_zZ is now known as Bertl
titanbiscuit has quit [Ping timeout: 240 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 240 seconds]
titanbiscuit has joined #symbiflow
HEGAZY has quit [Ping timeout: 256 seconds]
titanbiscuit has quit [Ping timeout: 258 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 265 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Ping timeout: 256 seconds]
titanbiscuit has joined #symbiflow
titanbiscuit has quit [Excess Flood]
<clay_1> I cloned symbiflow and tried to run the fasm2bels
<clay_1> So far I tried running python3 fasm2bels.py
titanbiscuit has joined #symbiflow
<clay_1> but this got me errors
<clay_1> In the read me I saw that the correct format is python3 -mfasm2bels <options> <verilog> <tcl>
<clay_1> so <verilog> and <tcl> should be the specified by me outut files
<clay_1> and options should be - `--connection_database` , `--db_root`, `--part` ,'fasm_file` separated by space ?
acanis has joined #symbiflow
tbisker8 has joined #symbiflow
titanbiscuit has quit [Ping timeout: 255 seconds]
clay_1 has quit [Remote host closed the connection]
proteus-guy has joined #symbiflow
clay_1 has joined #symbiflow
citypw has quit [Ping timeout: 240 seconds]
<litghost> Yes?
<clay_1> so I should write something like python3 -mfasm2bels /x1 /x2 xc7a35tcpg236-1 file.fasm file.v file.xdc ?
Bertl is now known as bertl_oO
bertl_oO is now known as Bertl_oO
<_whitenotifier-3> [conda-packages] acomodi opened issue #79: Yosys package cannot be found - https://git.io/Jvw28
OmniMancer1 has quit [Quit: Leaving.]
<lambda> getting a prjxray.fasm_assembler.FasmInconsistentBits exception from fasm2frames.py on nextpnr-xilinx output - specifically, LIOB33_X0Y137.IOB_Y1.LVCMOS33_LVTTL.DRIVE.I12_I16 and LIOB33_X0Y137.IOB_Y1.LVCMOS12_LVCMOS15_LVCMOS18_LVCMOS25_LVCMOS33_LVTTL_SSTL135.IN_ONLY are colliding.
<lambda> which is weird, since the port that's bound to that site is a button input used as a clock, definitely not an output
<lambda> daveshah: any ideas?
<lambda> (here's the JSON and XDC: https://misc.xiretza.xyz/repro/bit_conflict.tar.gz)
<daveshah> Sounds like something is broken in nextpnr I'll have a look but it would be tomorrow
<lambda> daveshah: I just realized what's going on - I didn't have all my ports in the .xdc, so nextpnr decided to put an output on the same site as my clock input. constrained all my ports, now everything works
<daveshah> Ah that makes sense, it's probably something odd like double counting IO sites due to the different modes
clay_1 has quit [Remote host closed the connection]
<_whitenotifier-3> [prjxray] mithro opened issue #1264: htmlgen - KeyError: 'XRAY_ROI' - https://git.io/Jvwr2
az0re has joined #symbiflow
Usama_Minhaj has joined #symbiflow
Usama_Minhaj has quit [Ping timeout: 260 seconds]
vup has quit [Ping timeout: 268 seconds]
vup has joined #symbiflow
HEGAZY has joined #symbiflow
ZirconiumX has quit [*.net *.split]
Vonter_ has quit [*.net *.split]
kgugala has quit [*.net *.split]
yeti has quit [*.net *.split]
josi9824 has quit [*.net *.split]
ZirconiumX has joined #symbiflow
kgugala has joined #symbiflow
Vonter_ has joined #symbiflow
yeti has joined #symbiflow
josi9824 has joined #symbiflow
az0re has quit [Ping timeout: 240 seconds]
vklar has joined #symbiflow
vklar has quit [Client Quit]
josi9824 has quit [Remote host closed the connection]
HEGAZY has quit [Ping timeout: 255 seconds]
az0re has joined #symbiflow
timeltd has joined #symbiflow
digshadow has quit [Read error: Connection reset by peer]
digshadow has joined #symbiflow
acanis has quit [Remote host closed the connection]